전체 (검색결과 약 434개 중 12페이지)

 영문 건강기록부 ( 2Pages )
HEALTH RECORD Name in Full : Hong, Kill Dong Sex : Female Date of Birth : May 22, 1984 Resident ID No. :*** Address : #123, Kwanchuldong, JongRogu, Seoul, KOREA Name of Father : Kim Kil Dong Blood Type :B Type, Rh + 1. Vaccination Record Name of Vaccination Date of Vaccination Diphtheria 4 yearold Whooping cough 4 yearold Tetanus 4 yearold Typhoid July 16, ..
서식 > 회사서식 |
 재료 시험법에 관한 방법 기술, 재료시험법의 방법 서술 ( 6Pages )
재료시험법의 여러 가지 방법들과 방식들을 정리 하여 나타냈습니다 인장시험(Tensile Test) 연신(Strain) True strain(진응력) 인장시험(Tensile Test) 인장시험은 재료의 기계적 특성을 알아내기 위한 가장 기본적인 시험이다. 인장시험은 간단하며, 저렴하고 거의 대부분 표준화가 되어있다. 재료를 당겨봄으로써 그 재료가 인장력에 대하여 어떤 반응을 보이는 지를 알아내는 것이다. 재료가 당겨질 때..
리포트 > 공학/기술 |
재료, 재료시험, 재료시험법, 재료시험 법, 연신, 인장, 스트레스, 인장시험
 실험 1주차_탄수화물의 검출 결과 Report ( 7Pages )
탄수화물은 구성하는 당의 수에 따라서 단 당류, 이 당류, 다당류로 구분된다. 바포오드가 약한 산성을 가지고 있기 때문에 단 당류에서만 반응한다. 두 용액 중단 당류, 이 당류, 다당류 중반응하지 않는 시험관이 있을 것이다. 그 외의 같은 용액을 넣은 단 당류, 이 당류, 다당류의 시험관의 색은 같을 것이다. 여기서 반응된 당은 추가적으로 바포오드 검사 Barfoed'stest 를 하여 단 당류 여부를 판단..
리포트 > 자연과학 |
당류, 반응, , 1%, 탄수화물, 베네딕트, o, 환원, 용액, 결합, 분자, 포도당, , , 의하다, 검사, cu, , 시험관,
 시계열분석입문 - 담배값에 대한 시계열 분석 ( 9Pages )
목 차 Ⅰ주제선정 Ⅱ데이터수집 Ⅲ회귀모형추정 Ⅳ추정된 모형 검정 1. 적합성 검정 2. 회귀계수 검정 3. Durbin Watson - Test 4. Run Test Ⅴ시계열 자료의 예측 1. Moving Average 2. Simple Exponential Smoothing 3. Forecasting with the Holt - Winters Method (in nonseasonal series) Ⅵ. 느낀점 Ⅰ.주제 선정(변수 선정) 지난 3월 5일 전재희 보건복지부장관이 흡연 억제를 위해 담배가격 인상의..
리포트 > 공학/기술 |
 일본어 능력시험의 유형 개발에 관한 연구 ( 20Pages )
일본어 능력시험의 유형 개발에 관한 연구 1) 차례 Ⅰ. 유형개발의 목적 Ⅱ. 능력시험 유형의 내용 Ⅲ. 문제의 난이도 및 출제기준 Ⅳ. [1단계 테스트] 검사모형의 예제 및 출제지침 Ⅴ. [2단계 테스트] 검사모형의 예제 및 출제지침 Ⅵ. [2단계 테스트]의 채점요강 Ⅰ. 유형개발의 목적 최근 외국어교육 열기가 높아짐에 따라 외국어 구사 능력을 평가하는 것이 중요한 과제가 되고 있다. 일본어의 경우도 예..
논문 > 어문학분야 |
 토지자원조사 및 실험- 흙의 현장밀도 시험 ( 5Pages )
토지자원조사 및 실험 (In-situ Density Test) 흙의 현장밀도 시험 ■ Abstract 현장밀도시험은 제방, 도로 등의 시공 시 흙의 다짐정도를 판단하기 위한 것으 로 현장에 시험공을 작은 크기로 굴착하여 교란되지 않은 상태의 시료에 대한 단위중량을 구하기 위한 현장실험이다. 현장에서 최대입경이 5이하인 흙의 단위중량을 모래치환법에 의해 결정하는 방법으로 흙입자와 입자사이의 물을 모 두 포함한 ..
리포트 > 자연과학 |
 기계공학 실험 -샤르피 충격실험 ( 8Pages )
기계 공학 실험 레포트 충 격 실 험 1. 실험 목적 충격 시험의 목적은 충격력에 대한 재료의 충격 저항을 시험하는 데 있다. 일반적으로 충격 시험에서는 재료를 파괴할 때 재료의 인성(toughness) 또는 취성(brittleness)을 시험한다. 재료의 인성이나 취성은 정적 인장시험의 결과로부터 어느 정도 추정이 가능한 경우도 있으나, 재료의 정적 인장시험의 결과만으로는 동적 하중, 금속 조직, 사용온도 ..
리포트 > 공학/기술 |
 [조직행동론] 피터셍게의 이론에 대해서 ( 8Pages )
- 목 차- 1. 서론(Introduction) ■ 적응학습“ 과 ”생성학습“(Adaptive Learning and Generative Learning) ■ 리더의 새로운 과제“ (The Leader s New Work) 2. 본론 ■ 새로운 역할(New Roles) 1) “설계자”로서의 리더 (Leader as Designer ) 2) 교사“로서의 리더 (Leader as Teacher ) 3) 봉사자“로서의 리더 (Leader as Steward ) ■ 새로운 기술(New Skills) 1) 공유비전 의 구축(Building Sharr..
리포트 > 교육학 |
 영어1 1과 The boy with the low IQ ( 4Pages )
영어1 1과 The boy with the low IQ 해석본입니다^^ 영어1 1과 The boy with the low IQ 해석본입니다^^ One of the worst evils of today is the exaggerated importance given to so-called intelligence tests. 오늘날 가장 나쁜 악 중의 하나는 소위 말해서 지능 테스트라는 것의 과장된 중요성이다. Many other qualities besides agility in answering trick questions go into the making of human..
리포트 > 인문/어학 |
영어1 1과 The boy with the low IQ, 낮은 IQ를 가진 소년, 영어, 해석
 브리넬 경도시험 ( 4Pages )
브리넬 경도시험 1. 실험 제목 - 브리넬 경도시험(Hardness Test) 2. 실험 목적 - 외력에 의한 재료의 변형이 얼마나 일어나는가를 나타내는 방법으로 재료의 하중에 대한 변형 정도(경도)를 측정하여 재료의 변형 특성을 이해한다. 3. 이론 및 측정원리 (1) 브리넬 경도시험(Brinell Hardness Test) 구형의 압입자를 일정한 하중으로 시편에 압입함으로써 경도값을 측정하는 방법이다. 이 방법은 압입..
리포트 > 공학/기술 |
 브리넬 경도시험 ( 4Pages )
브리넬 경도시험 1. 실험 제목 브리넬 경도시험(Hardness Test) 2. 실험 목적 외력에 의한 재료의 변형이 얼마나 일어나는가를 나타내는 방법으로 재료의 하중에 대한 변형 정도(경도)를 측정하여 재료의 변형 특성을 이해한다. 3. 이론 및 측정원리 (1) 브리넬 경도시험(Brinell Hardness Test) 구형의 압입자를 일정한 하중으로 시편에 압입함으로써 경도값을 측정하는 방법이다. 이 방법은 압입자..
리포트 > 자연과학 |
 경제적 부가가치(EVA)의 정보효과에 대한 연구 ( 30Pages )
경제적 부가가치(EVA)의 정보효과에 관한 연구* (An Empirical Test of Information Contents of Economic Value Added (EVA)) 이 대 선** ․ 이 동 훈 *** Dai-Sun Lee․Dong-Hoon Lee <목 차> I. 서론 II. 경제적 부가가치의 이론적 고찰 III. 실증연구의 설계 IV. 실증분석결과 V. 결론 * 이 논문은 2001년도 두뇌한국 21사업 핵심분야에 의하여 지원되었음. ** 서강대학교 경영학과 교수 *** 교..
리포트 > 경영/경제 |
경영, 경제
 국제운전면허수시적성검사신청서 ( 2Pages )
〔별지 제44호 서식〕<개정 99. 4. 30> (제49조 제8항) 국제운전면허 수시적성검사 신청서 (시험장 제출용) Application for Aptitude Test Photo 사진 (탈모·무배경) 3.0㎝×4.5㎝ ①성명 Name in Full ② 생년월일 Date of Birth ③ 면허번호 Driving license No. ④외국인등록번호 Reg.card.No ⑤ 등록시도 Reg.address ⑥국적 Nationality ⑦ 입국연월일 Date of Entry ⑧면허발급국명 Issued co..
서식 > 행정민원서식 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 토플LC공략법 ( 2Pages )
토플 LC 공략법 Paper-based TOEFL Test의 경우, 각 Part 별로 문제수는 Part A: 30 Part B: 7-9 Part C: 11-13 이 글은 주로 토플 단기공략을 중심으로 적어 나가겠습니다. 우선 주요 문제가 되는 점을 먼저 언급하고 공략방안을 적겠습니다. ◆ Potential problems ◆ 1. 귀가 안 뚫린 경우 2. 듣고도 답을 찾을 수 없는 경우 귀가 뚫리고도 답을 못 찾을 경우는 (1) culture-based conve..
시험/자격증 > 어학 |
11 12 13 14 15 16 17 18 19 20