전체 (검색결과 약 4,576개 중 13페이지)

 pid제어기_제어동작.pdf ( 2Pages )
PID 제어제어동작 PID제어기는 제어 변수와 기준 입력 사이의 편차에 근거하여 계통 의 출력이 기준전압을 유지하도록 하는 피드백 제어의 일종으로, 오늘날 산업체의 설비에 가장 많이 사용되고 있는 제어기 형태이 다. (1) 비례동작(Proportional action) -P 동작 ....
정보/기술 > 토목/건축 |
pid제어기_제어동작.pdf
 자동차 제어 시스템 설계 - DC모터의 PID 설계 ( 25Pages )
자동제어시스템설계 -DC모터의 PID 설계- [[ 목 차 ]] 1. PID 설계목표 2. PID 설계절차 3. 조원 역할 분담 4. DC모터 전달함수의 수학적 모델링 5. 비제어 시스템 특성 6. P제어(비례제어) 7. PI제어(비례, 적분제어) 8. PD제어(비례, 미분제어) 9. PID제어(비례, 미분, 적분제어) 10. 결론 1. PID 설계목표 직류서브모터에 대해 안정성과 과도상태에 정상상태에서의 목표차를 설정하여 pid 제어로 통해..
리포트 > 공학/기술 |
 공학 - DC모터 제어 ( 5Pages )
DC모터 제어 목 차 1. open loop control closed loop control 2. 1차 시스템, 시정수, 이득 의미 3. 위상차이가 나타나는 이유 4. cut off frequency 의미 5. PID control 물리적 의미 1. open loop control closed loop control ① 개로제어(Open-loop control) 시스템의 출력을 입력단에 되먹이지 않고 기준입력만으로 제어신호를 만들어서 출력을 제어하는 방식이다. [그림1.] 상수 플랜트 ‧ ..
리포트 > 공학/기술 |
 [신호와제어]설계문제(피드백 제어시스템의 특성) with MATLAB ( 16Pages )
교재 : 신호와제어(최연욱 저, 홍릉과학출판사) 피드백 제어 시스템의 특성을 MATLAB 시뮬레이션을 통해 내린 분석과 결론 MATLAB Source와 결과 자료 화면도 첨부 HWP 파일로 작성 MATLAB GUI Progamming 한 자료도 첨부
리포트 > 공학/기술 |
 중앙제어 자기소개서 자소서 ( 3Pages )
중앙제어 자기소개서 자료입니다. 중앙제어 자기소개서 1. 성장과정 2. 성격의 장단점 3. 가치관 및 생활신조 4. 학창시절 5. 지원동기 및 입사 후 포부
서식 > 자기소개서 |
중앙제어, 자기소개서, 자소서
 중앙제어 자소서 작성법 및 면접질문 답변방법, 중앙제어 자기소개서 작성요령과 1분 스피치 ( 10Pages )
목차 I. 중앙제어 이력서 작성의 핵심 포인트 II. 중앙제어 자기소개서 작성요령 1. 성장과정 작성요령 2. 성격의 장단점 작성요령 3. 학창시절 작성요령 4. 지원동기 및 포부 작성요령 5. 입사 후 포부 작성요령 6. 단어 수정 작업 III. 중앙제어 면접 전 준비사항 IV. 중앙제어 면접예상질문 및 답변요령 V. 중앙제어 면접 1분 자기소개(1분 스피치) 요령과 예문 1. 1분 자기소개 답변요령 2..
서식 > 자기소개서 |
면접, 1분 스피치, 1분 자기소개, 면접기출
 수치제어선반의 분기별 시장동향 ( 3Pages )
N.C Lathe, N.C, Lathe, N, C, N C, 수치, 제어, 선반, 수치제어, 제어선반, 수치제어선반, 1995년, 1996년, 1997년, 1998년, 1999년, 2000년, 2001년, 2002년, 분기별, 생산, 출하, 내수, 수출, 생산량, 출하량, 내수량, 수출량, 재고, 재고량, 전년대비증감율, 증감율, 시 1995년 1분기부터 2002년 3분기까지 분기별 수치제어선반의 생산, 출하(내수, 수출) 재고 현황 및 전년대비 증감율 표와 그래프 표기
비지니스 > 경제동향 |
N.C Lathe, N.C, Lathe, N, C, N C, 수치, 제어, 선반, 수치제어, 제어선반, 수치제어선반, 1995년, 1996년, 1997년, 1998년, 1999년, 2000년, 2001년, 2002년, 분기별, 생산, 출하, 내수, 수출, 생산량, 출하량, 내수량, 수출량, 재고, 재고량, 전년대비증감율, 증감율,
 기구학 - OP AMP를 이용한 PID제어및 모터의 보드선도와 전달함수 ( 24Pages )
OP AMP를 이용한 모터 PID 제어 목 차 1 개루프 시스템 : 모터 전달함수 2 폐루프 시스템 : Pid제어 하기전 Pid제어요소 결정 Pid제어 적용 개루프 시스템 개요도 G(s) 개루프 시스템 구성도 Pre- amplifier Motor driver Tacho meter oscilloscope Function generator 개루프 시스템 출력파형 G(s)=1/Ts=1/(1+0.13s) 개루프 시스템 Bode 선도(gain) 개루프 시스템 bode선도(위상) PID제어 안한 ..
리포트 > 공학/기술 |
 수치제어선반의 월별 시장동향 ( 4Pages )
N.C Lathe, N.C, Lathe, N, C, N C, 수치, 제어, 선반, 수치제어, 제어선반, 수치제어선반, 1995년, 1996년, 1997년, 1998년, 1999년, 2000년, 2001년, 2002년, 월별, 생산, 출하, 내수, 수출, 생산량, 출하량, 내수량, 수출량, 재고, 재고량, 전년대비증감율, 증감율, 시장 1995년 1월부터 2002년 10월까지 월별 수치제어선반의 생산, 출하(내수, 수출) 재고 현황 및 전년대비 증감율 표와 그래프 표기
비지니스 > 경제동향 |
N.C Lathe, N.C, Lathe, N, C, N C, 수치, 제어, 선반, 수치제어, 제어선반, 수치제어선반, 1995년, 1996년, 1997년, 1998년, 1999년, 2000년, 2001년, 2002년, 월별, 생산, 출하, 내수, 수출, 생산량, 출하량, 내수량, 수출량, 재고, 재고량, 전년대비증감율, 증감율, 시장
 실험8 DC모터의 속도제어(전기자 전압제어)와 전력측정 ( 13Pages )
1. 실험목적 2. 장비 및 부품 3. 준비지식 4. 실험 5. 고찰 6. 실험후기 1. 실험목적 1) 전기자 전압제어에 의한 DC 모터의 속도제어법을 익힌다. 2) 엔코더(encoder)에 의한 모터의 속도 계측 원리를 이해한다. 2. 장비 및 부품 1) REGULATED DC POWER SUPPRY 2) 클램프미터 3) DC 모터 4) 멀티미터
리포트 > 공학/기술 |
DC모터의 속도제어, 전기자 전압제어, DC모터, DC모터 속도제어, DC모터 전력측정, DC모터 실험목적, DC모터 준비지식, DC모터 실험, DC모터 고찰, DC모터 실험후기
 실험3 시퀀스제어 실습 ( 13Pages )
1. 실험목적 2. 장비 및 부품 3. 준비지식 4. 실험 방법 5. 실험 결과 6. 고찰 7. 실험후기 8. 참고문헌 실험3 시퀀스제어 실습 1. 실험목적 1) 시퀀스제어(미리 결정된 순서 또는 일정한 논리에 의해 결정되는 순서에 따라 제어 의 각 단계를 점진적으로 진행해 나가는 제어)의 의미를 이해한다. 2) 시퀀스회로 표시에 이용되는 접점의 기호와 각 부품 간의 결선법을 익힌다. 3) 회로 결선을 통해 시퀀스제..
리포트 > 공학/기술 |
시퀀스제어 실습, 시퀀스제어, 시퀀스제어 실험목적, 시퀀스제어 장비, 시퀀스제어 준비지식, 시퀀스제어 부품, 시퀀스제어 실험 방법, 시퀀스제어 실험 결과, 시퀀스제어 실험, 시퀀스제어 실험후기
 AC 위상제어 ( 13Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 AC 위상제어에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 전파위상제어(Full-wave Phase Control) 나. 반파 위상제어 : 수동부하에 공급하는 제어정류기 3. 실험 요약 4. 실험 순서 가. 전파위상제어 나. 반파위상제어 다. 수동부하(Passiv..
리포트 > 공학/기술 |
AC 위상제어, 전파위상제어(Full-wave Phase Control), 반파 위상제어, 수동부하(Passive Load)에 공급하는 제어 정류기
 공정제어화공 실험-다단 액위 제어 ( 4Pages )
다단 액위 제어 1. 실험 결과 * 1주차 실험 ① ID TEST 그래프 ② PID값 구하기 (Control Output 변화) = (Steady State 변화) = (Time Delay) = (기울기에 따른 시간) = Method 1 (Cohen Coon) Method 2 (Ziegler-Nichois) PID Cohen Coon 0.0478 ....
리포트 > 공학/기술 |
 [제어공학] PID control[제어] ( 37Pages )
PID control 1 목 차 개요 및 간략 소개 P, I, D 제어기의 특성 스프링-댐퍼의 전달함수 유도 및 블록선도 작성 각 제어기의 전달 함수 유도 및 MATLAB작성 각 제어기의 응답특성 비교 고찰 및 느낌점 2 개 요 3 1. 개 요 기계 제어시스템 분석 (수학적 모델링, 전달함수 유도, 블록선도 표시) MATLAB을 사용해 게인값 변화에 따라 P, I, D, PI, PD, PID 응답 특성 MATLAB을 통해 각 제어시스템의 응답을 ..
리포트 > 공학/기술 |
 [재료공학실험] 비례제어기를 갖는 폐루프 제어 ( 21Pages )
제어공학 비례제어기를 갖는 폐루프 제어 목차 1. 실험목적 2. 기본이론 3. 실험회로 4. 사용기기 및 재료 5. 실험순서 및 결과 , 고찰 1. 실험 목적 2차 지연요소를 제어대상으로 하여 비례제어기를 이용한 폐루프 제어시스템을 설계하고 특성을 관측한다. 2. 기본 이론 단일 입출력 제어시스템의 설계에서 부족감쇠 2차 지연요소에 대한 제어시스템의 설계방법을 이용하여 주어진 제어대상에 대..
리포트 > 자연과학 |
11 12 13 14 15 16 17 18 19 20