전체 (검색결과 약 609개 중 14페이지)

 글로벌기업 SAP의 빅데이터 활용한 경영성공전략 사례분석과 SAP의 빅데이터 활용한 향후전략제안 레포트 ( 22Pages )
SAP Big Data를 활용한 승리전략분석 CONTENTS Ⅰ. 서 론 1. 주제선정배경 Ⅱ. 본 론 1. 빅데이터(Big Data) 개념분석 1) 빅데이터 개념 2) 빅데이터 탄생배경 2. SAP 기업소개 및 HANA 기술소개 1) SAP 기업개요 2) HANA 기술분석 3. SAP의 스포츠분야에서의 대표적인 빅데이터 활용사례 분석 1) 독일의 월드컵 우승에 크게 기여한 SAP 2) WTA(여자테니스협회)와의 협약 3) FC바이에른 뮌헨에 스포..
리포트 > 경영/경제 |
 [사회과학 조사방법론] 자료수집의 방법과 보고서 작성 - 자료수집의 방법을 중심으로 ( 8Pages )
자료수집의 방법과 보고서작성 ─── - 자료수집의 방법을 중심으로 - 자료 수집의 의의 Ⅰ. 자료수집의 의의 연구자가 연구 목적에 따라 연구 가설을 수립하고 나면, 연구 문제를 분석하는 데 필요한 자료를 수집해야 한다. 자료(data)란 연구에 직접 또는 간접으로 이용되는 일체의 정보를 말하며, 자료 수집(data collection)이란 자료를 얻기 위한 수집 활동이나 이를 지원하는 모든 과정을 말한다. ..
리포트 > 사회과학 |
 하나로 통신 DWCRM ( 27Pages )
1 CRM SYSTEM 구축(Customer Relationship Management) 2 목차 1. CRM 개요 2. CRM 구축시 고려사항 3. CRM: DW 구축 4. CRM: 캠페인 관리 3 CRM 개요 기업의 마케팅 전략을 바탕으로 전산 시스템에 수집/확보된 고객정보를 이용하여 One-To-One 마케팅을 통한 고객과의 장기적인 관계형성으로 고객의 생애가치(Life Time Value)를 최대화한다 1. CRM의 목적 시장점유율을 높이기 위해 기업간의 경쟁이 가속..
비지니스 > 컨설팅/마케팅 |
 볼보,자동차시장,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 29Pages )
Welcome To VolvoConstruction Equipment Volvo Constructrion Equipment - Korea Safety Environment Quality Wold Top 3 C.E Maker 꼭 사고 싶은 제품 시장 선점능력 Volvo Brand Sold One Mfg. One Product Process Personnel Core Value Vision Strategy Innovation 1) 손익계산서 [단위, 1억] Data : http://www.korchambiz.net/ 2) 재무비율 Data : http://www.korchambiz.net/ 외부정보통신 전문업..
리포트 > 경영/경제 |
 [가족복지론] 가족사정에 대해서 ( 13Pages )
가족사정(家族査定) Ⅰ. 가족사정의 개념 1. 사정(査定, assessment ) 이란   클라이언트의 상황에 대한 파악을 위해 자료를 수집 ∙ 분석 ∙ 종합하는 일련의 과정 1) 문제의 본질 2) 타인의 대처능력 3) 관련체계 및 체계간 상호작용 4) 이용 가능한 자원 5) 문제해결동기     2. 직관 ∙ 느낌 [ 경험적 자료 = 클라이언트 객관적으로 바라봄     사회 사업 실천 결과를 과학적으로 측정∙평가   ..
리포트 > 생활/환경 |
 전자 상거래 관련 기술 ( 3Pages )
전자 상거래 관련 기술 1.EDI 전자 자료 교환 [ 電子資料交換 electronic data interchange ] EDI(Electronic Data Interchange)란 거래 당사자가 인편이나 우편에 의존하는 종이서류 대신 컴퓨터로 수출 승인서 등의 행정서류 및 상업송장 등의 상거래 서식을 서로 합의한 표준양식에 맞추어 상호교환하여 재입력 과정 없이 직접 업무에 활용할 수 있도록 하는 새로운 정보전달방식을 말한다. 즉 기업 간..
리포트 > 경영/경제 |
경영, 경제
 옵션 계약서(영문) ( 5Pages )
옵션 계약서(영문) 작성 서식입니다. OPTION AGREEMENT(영문‐옵션계약서) This Agreement, made on the ___ day of ___ by and between ___ , a company organized and existing under the laws of ___ ,and having its principal place of business at ___ hereinafter called "___ " , and ___ ___ , a corporation organized and existing under the laws of ___ hereinafter called " ___ " WI..
서식 > 계약서 |
옵션, 계약서, 영문
 빅데이터, 스마트tv, 스마트폰에 대한 정리 ( 21Pages )
빅 데이터 IBM의 트라우마와 극복 방향 PC번혁기에 한 번 좌절한 쓰라린 기억 2012년 새로운 CEO에 오른 지니 로메티 IBM의 길, 전임 팔미사노를 계승하는 일관된 노선 ‘하드웨어에서 소프트웨어 서비스로’ IBM 새 ceo 로메티는 취임후 첫 60일간 100여 고객사 ceo들에게 one of the most profound things they talk about is data will separate the winners and losers in every single industry 그들에 ..
리포트 > 공학/기술 |
 디지털 라이징 ( 11Pages )
[시험 요약] ◎시험의 목적 2차원의 물체는 간단한 도구(자, 각도기 등)만 있으면 정확한 위치 측정이 가능한 반면, 3차원 형상은 위의 간단한 도구만으로는 측정의 한계가 있으며, 설사 측정을 했다 하더라도 많은 오차를 유발 한다. 그러므로 3차원 형상의 특정부분의 위치 파악을 위해서는 별도의 장비를 개발하고 이를 이용할 필요성이 있다. 본 실험에서는 3차원 물체의 각 점의 위치를 파악할 수 ..
리포트 > 공학/기술 |
 e-Government & e-Participation ( 28Pages )
e-Government &e-Participation Contents Seoul’se-Government - Organization - Front & Back office System - Infrastructure - Achievement 2. e-Seoul Vision and Goal - Master Plan & Goals -5 Stages of e-government 3. e-Participation (Cyber Policy Forum) 4. Case Study and Effects Seoul’se-Government Information System Planning Bureau (CIO) Information Planning Division G..
리포트 > 사회과학 |
 영문 호적등본 ( 1Pages )
FAMILY CENSUS REGISTER This is to certify that the above family census register is an authentic and true copy of the original one filed in this office. July, 01, 2000 /S/ Official Seal Affixed Head Official :Hong Kil Dong Youngdogu, PusanCity Place of Register : This register was compiled due to the report of family headship succession on Feb. 15, 1979 and rec..
서식 > 행정민원서식 |
 지식의 개념과 특성(지식의 의의와 특징) ( 4Pages )
지식의 개념과 특성(지식의 의의와 특징) 지식을 둘러싼 환경이 급변함에 따라 이에 적극 대응하기 위한 지속적인 혁신과 이를 가능케 하는 지식의 중요성이 더욱 커지고 있다. 지식(knowledge)이란 일반적으로 무엇인가? 여러 유용한 경험, 상황, 해석들을 결합한 정보를 말하는 것으로서 알고 있는 것을 체계적으로 정리한 것이라 할 수 있다. 사전적 의미에서의 지식은 사물에 관한 똑똑한 의식과 그것..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 [화학공학실험] 단증류 실험 ( 7Pages )
1. 실험 제목 : 단증류 2. 실험 목적 : 단증류 실험 장치를 사용하여 장치의 조작법과 이성분계의 단증류 실험을 통하여 유출액 조성을 시 간의 변화에 따라 측정하여 회분조작으로서 단증류의 원리를 이해한다. 유출액의 조성을 구하는 방법들을 조사하고 그 중의 Rayleigh식을 통한 조성계산을 이론값과 다른 방 법을 통한 조성계산과 비교하고 그 차이를 이해한다. 3. 실험 방법 및 과정 : 50wt% ..
리포트 > 공학/기술 |
 [조사방법론] 자료의 종류와 자료수집 방법 ( 4Pages )
자료의 종류와 자료수집 방법 Ⅰ. 서론 현실적으로 표본을 구성하고 질문지를 작성하기 이전에 어떻게 자료를 수집하여 가설을 검증할 것인가 하는 자료의 수집방법을 연구자가 결정하고 있는 것이 보통이다. 또한 연구내용과 주제에 따라서 어떤 자료수집 방법이 현실적으로 가능하며 또 타당하고 신뢰할 수 있는 자료를 얻을 것인가 하는 점 등을 결정한 후에 연구자는 표본과 질문지를 구성하는 것이 ..
리포트 > 사회과학 |
11 12 13 14 15 16 17 18 19 20