전체 (검색결과 약 599개 중 24페이지)

 생물학 실험 - 동물 및 식물세포 관찰 ( 3Pages )
실험 보고서 # 6 날짜 . 과정 생물실험 조 학번 이름 담당조교 1. 실험 제목 : 세포 관찰 2. 목 적 가. 광학현미경의 사용법을 숙달한다. 나. 동물, 식물 세포를 비교, 관찰한다. 3. 실험 재료/기구 및 방법 가. 실험 재료 및 기구 1) 광학현미경, 슬라이드글라스, 커버글라스, Iodine mordant, 증류수여과지, 양파, 면도칼, 아세트산카아민, 구강상피세포, 면봉, 70% 에탄올, 1% 메틸렌블루 나..
리포트 > 자연과학 |
 화학결과 보고서 - 유리 기구의 불확실도 결정 ( 4Pages )
화학결과 보고서 - 유리 기구의 불확실도 결정 1. 실험 목적 (Purpose) ①실험에서 사용되는 유리기구의 용도를 이해한다. ②주어진 실험기구의 사용법을 숙달한다. ③측정값을 유효숫자를 고려하여 처리하고 결과의 불확실도를 이해한다. 2. 실험원리 ( Introduction) 화학실험의 핵심은 관찰과 측정이므로 우리는 부피 질량 온도와 같은 물리량을 정확하게 측정해야하며 따라서 실험에서 원하는 정밀도에 ..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 웹사업 소기업(직원10명이하) 문서체계 ( 103Pages )
웹을 기반으로 인터넷사업을 하고 있는 소기업(인원10명이하)에서 사용할 수 있는 모든 문서체계및 서식양식, 서식 사용법 인터넷에서 사업을 기반으로 하는 소기업에서 유용하게 사용할 수 있는 서식체계를 잡았습니다. 인원이 10명 정도의 회사에서 적당한 문서체계이며 웹기반에서 가장 필요한 마케팅, 제휴관계 및 웹사업의 특성에 필요한 모든 웹관련서식이 있습니다. 특히 일반기업에서 기본적으로 사..
서식 > 회사서식 |
 i message ( 32Pages )
i message Contents title 1 아이 메시지 아이메시지 등장 아이메시지란 무엇인가 사용법 아이메시지 등장 ‘하이브리드’ 메시징 서비스 무료문자 ! iOS5 아이메시지 등장, 카카오톡 vs 마이피플 판도 변할까 iOS 5 i phone i pod i pad 아이메시지란 무엇인가 ‘아이 메시지’ 란 (i message) Apple iPad, iPhone, iPod touch 기기 간에 서로 Wi-Fi 혹은 3G를 통해 타 모바일 메신저처럼 문자, 사진, ..
리포트 > 경영/경제 |
 월부할부매매계약서 ( 1Pages )
매도인과 매수인이 물건을 아래조건에 따라 계약한다는 내용의 매매계약서양식입니다. 월부(할부)매매계약서 제1 조매도인 은 매수인 에게 다음의 물건을 아래의 계약조건으로 매도하고 매수인 은 이를 승낙한다 ※ 물건의 표시 제2조 대금은 원으로 하며 매수인은 매도인에게 본 계약성립과 동시에 금원, 잔액은 19 년월 일부터 매월 일에 금 원씩 매도인의 수금원에게 지불한다 제3조 매도인은 매수인에..
서식 > 계약서 |
매도인, 매수인, 멸실, 월부금
 놀이와 일상 관찰일지 만4세 1년치 (1학기,2학기 총평포함) ( 20Pages )
놀이와 일상 관찰일지 만4세 1년치 분량입니다. 1학기,2학기 총평 포함된 관찰일지 이며 피드백 받으면서 총평 부분에서 칭찬을 받았던 자료입니다. (1) 유아 1 - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 1학기 총평 (2) 유아 2 - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 - 놀이활동 - 놀이활동 - 1학기 총평 (3) 유아 3 - 일상생활 - 놀이활동 - 놀이활동 - 일상생활 -..
리포트 > 교육학 |
영유아관찰일지, 만4세 관찰일지, 만4세 평가인증, 만4세평가제, 1학기관찰일지, 발달평가, 아동관찰일지, 평가인증관찰일지, 교육실습일지, 관찰일지
 양식체험 ( 14Pages )
양식 체험 식사 전 반드시 깨끗이 손을 씻음. 웨이터가 자리를 안내하면 가서 앉음. 모두가 앉으면 냅킨을 폄. 생선 요리를 먹을 시 뒤집지 않음. 접시에 입을 대고 먹지 않음. 식사 중 머리를 만지지 않음. 웨이터를 큰소리로 부르지 않고 수신호를 보냄. 양식의 기본 예절 한 식 음식을 먹는 순서가 없음. 숟가락과 젓가락 사용. 낮은 상에서 식사를 함. 식사 중 코를 풀면 실례. 양 식 음식은 나오는 ..
리포트 > 생활/환경 |
 일반물리 실험 - 휘트스톤 브릿지 시스템 ( 4Pages )
일반물리 실험 - 휘트스톤 브릿지 시스템 1. 목적 한 물질의 전도도(또는 비저항)는 그 물질의 전기적 성질에 관하여 매우 중요한 정보를 제공한다. 이를 실험적으로 결정하기 위하여 먼저 저항을 정확하고 정밀하게 측정하여야 한다. 이를 위하여 휘스톤 브리지가 종종 사용된다. 본 실험에서는 휘스톤 브리지의 구조와 사용법을 익히고, 미지 저항체의 전기 저항을 측정한다. 2. 기본 원리 다음 그림..
리포트 > 자연과학 |
 문법 - 관사 [ 冠詞, article]에 대해서 ( 19Pages )
관사 [ 冠詞, article] Ⅰ. 관사란 Ⅱ. 관사의 종류 Ⅲ. 관사 존재의 의의 Ⅳ. 관사의 사용 관사란 대개의 경우 명사 앞에 놓여서 가벼운 제한을 가하는 낱말. 각 언어마다 관사의 사용 유무, 사용법들이 상이하고 관용적으로 다르다. 본 발표에서는 영어의 관사에 대해서만 다루겠습니다 ^^ 왜 冠詞 인가 冠 : [갓 관] 머리에 쓰는 모자 류를 지칭. 즉, 명사 위에 얹어 놓은 것. 명사 앞에 붙여 쓰..
리포트 > 사회과학 |
 matlab을 이용한 Automatic Control System 해석 ( 78Pages )
MATLAB 을 이용한Automatic Control System 해석 MATLAB의 기초 사용법 목 차 MATLAB의 작업환경 MATLAB에서 쓰이는 기호들 함수의 표현 벡터와 행렬의 표현 및 계산 MATLAB의 기본 명령어들 그래프 그리기 MATLAB 프로그램의 작성과 실행 MATLAB의 작업환경 실행 화면 MATLAB의 작업폴더 MATLAB 사용 중 생성되는 파일을 저장하고 불러오는 기준위치 File-]Set path 또는 path 명령어를 사용 하여 자주 ..
리포트 > 공학/기술 |
 마케팅 제품관리 ( 21Pages )
제품(Product)관리 마케팅믹스 관리 제품의 개념과 차원 ○제품(product) - 소비자가 욕구를 충족하기 위해 구매하는 모든 것들 ○제품의 차원 -핵심제품(core product) 소비자들이 제품을 구매할 때 추구하는 편익(benefit)이며, 욕구(needs)를 충족시키는 본질적 요소 -실제적 제품(actual product) - 그 편익을 실현하기 위한 물리적 요소들의 집합으로서 대체로 가시적인(visible) 것들이다. 물리..
리포트 > 경영/경제 |
 [디지털 회로 설계] 4-Bit D Flip Flop 설계 ( 8Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) ..
리포트 > 공학/기술 |
 대리점 교육 준비 자료 및 교육 방안 ( 2Pages )
대리점 교육 준비 자료 및 교육 방안 1 일차 시간별 교육 범위 및 내용 준비 자료 및 부서 09:30 10:00 회사 소개 및 교육 일정 안내 제품의 특징 및 작동 원리     장점     작동원리     적용범위     측정장비 사용법 회사소개-대리점 제품의 특징 및 작동 원리-기술 XX장비사용법-기술 13:00 XXX 이론 교육      XX이론 - 기술 XX - 대리점 15:00 ..
비지니스 > 기타 |
교육학
21 22 23 24 25 26 27 28 29 30