전체 (검색결과 약 2,804개 중 33페이지)

 환경미생물학 설계 - 환경 미생물학 조류를 이용한 하수처리 ( 23Pages )
Waste Water treatment using Microalgae [Environmental Microbiology] Abstract Microalgae Very small (about ~~um) Influence the terrestrial environment in the earth Diversity Sensitive The biodiversity of microalgae is enormous. It has been estimated that about 200,000-800,000 species exist of which about 35,000 species are described. Removal N and P by microalgae Micr..
리포트 > 자연과학 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 코트디부아르,코트디부아르국가분석,코트디부아르진출전략,사업계획 ( 19Pages )
코트디부아르the Republic of Cote d Ivoire 목차 국가 소개 국가경제 소개 회사 소개 진출전략 SWOP 및 4P 분석 코트디부아르 the Republic of Cote d Ivoire 위치 :아프리카 서부 인구 :1765만 4843명(2006년 현재) 면적: 32만 2463㎢ 수도 : 아무수크로(Yamoussoukro) 정체·의회형태: 공화제, 대통령 중심제, 단원제 언어: 프랑스어 종교: 이슬람교, 기독교, 토착종교 코트디부아르의 경제 GDP: 240.9..
리포트 > 경영/경제 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 파블로프의 고전적 조건화(고전적 조건형성이론) ( 3Pages )
파블로프의 고전적 조건화(고전적 조건형성이론) 행동주의이론은 파블로프(Pavlov), 왓슨(Watson), 손다이크(Thorndike) 등의 초기 이론가들에 의해 정교화 되었다. 스키너(Skinner)의 조작적 조건이론에 절대적으로 기여한 이론은 파블로프의 고전적 조건화다. 고전적 조건화란 유기체가 환경적 자극(stimulus)에 수동적으로 반응(response)하여 형성되는 반응 행동을 설명하는 개념이다. 파블로프의 고전..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 태국,국제도시,세셰도시,태국사회문화,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례, ( 38Pages )
1. 태국 소개 2. Trade Profile 3. 한-태 무역관계 4. 태국의 대홍수 5. 동남아 관광의 메카 6. 한류의 어제와 오늘 7. Q A 목 차 태국 소개 면적 : 514000㎢ 인구 : 약6549만 GDP : 318,847 1인당 GDP : 5,737 언어 : 태국어 태국의 위치 인구 (thousands, 2010) : 69,122 GDP (million current US$, 2010) : 318,847 GDP (million current 구매력 평가지수 US$, 2010) : 586,824 경상수지 (mil..
리포트 > 경영/경제 |
 GSP원산지증명서FORMa ( 1Pages )
1.Goods consigned from(exporter s business name, address, country) Reference No GENERALIZED SYSTEM OF PREFERENCES CERTIFICATE OF ORIGIN (Combined declaration and Certificate) FORM A Issued in (Country) See Notes Overleaf 2.Goods consigned to (consignee s name, address, country) 3.Means of transport and route(as far as known) FROM : TO : BY : 4.For official use ....
서식 > 행정민원서식 |
 국제운송(국제운송방법) ( 6Pages )
국제운송(국제운송방법) 목차 국제운송 Ⅰ. 물류관리 Ⅱ. 선박운송의 종류 Ⅲ. 해운동맹 및 기본운임 Ⅳ. 운송계약체결 시 화주가 유의하여야 할 사항 Ⅴ. 용선운송계약 1. 정기(기간)용선계약 2. 항해용선계약의 의의 Ⅵ. 컨테이너선 1. Container Freight Station(CFS) 2. Container Yard(CY) 3. 컨테이너운송의 장점 Ⅶ. 복합운송 Ⅷ. 운송서류 국제운송 해운이란 영업적 목적을 달성하게 위하여 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 화법 ( 1Pages )
Interpersonal Clarity "Being clear means focusing, limiting the ways people can interpret what you say." 1. 쌍방에 의해 이루어져야 Being clear가 이루어짐.(혼자서는 안됨) 2. 완벽한 limiting은 있을수 없다. clear하지 못하면 ㄱ. 서로에게 오해가 생길수 있다. ㄴ. 두사람 사이에 비인간적(impersonal) 관계가 성립될수 있다. Make the situation work for you. control noises(..
리포트 > 사회과학 |
 [영문]A.P. PARTICIPACOES S.A.사의 2003년까지 간략 ( 1Pages )
본 컨텐츠는 A.P. PARTICIPACOES S.A.사의 간략정보로 2003년까지의 연간 매출액, 종사자수가 영문으로 수록되어 있습니다.문서 보안상 PDF로만 제공되며, A4 1쪽 분량이며, 견본이 제공되므로 반드시 확인후 구매하시기 바랍니다. *수록내용(영문으로 수록)* : 업체명, 주소, 전화번호, FAX, URL usiness Description> : 간략 사업소개 :주 ..
비지니스 > 기업분석 |
 [영문]A.F.P. PROTECCION S.A.사의 1995년까지 간략 ( 1Pages )
본 컨텐츠는 A.F.P. PROTECCION S.A.사의 간략정보로 1995년까지의 연간 매출액, 종사자수가 영문으로 수록되어 있습니다.문서 보안상 PDF로만 제공되며, A4 1쪽 분량이며, 견본이 제공되므로 반드시 확인후 구매하시기 바랍니다. *수록내용(영문으로 수록)* : 업체명, 주소, 전화번호, FAX, URL usiness Description> : 간략 사업소개 :주 ..
비지니스 > 기업분석 |
 [영문]ALICORP S.A.사의 2004년까지 간략정보 ( 2Pages )
본 컨텐츠는 ALICORP S.A.사의 간략정보로 2004년까지의 연간 매출액, 종사자수가 영문으로 수록되어 있습니다.문서 보안상 PDF로만 제공되며, A4 1쪽 분량이며, 견본이 제공되므로 반드시 확인후 구매하시기 바랍니다. *수록내용(영문으로 수록)* : 업체명, 주소, 전화번호, FAX, URL usiness Description> : 간략 사업소개 :주 거래소, US ..
비지니스 > 기업분석 |
 [영문]ALGORIEL사의 2005년까지 간략정보 ( 1Pages )
본 컨텐츠는 ALGORIEL사의 간략정보로 2005년까지의 연간 매출액, 종사자수가 영문으로 수록되어 있습니다.문서 보안상 PDF로만 제공되며, A4 1쪽 분량이며, 견본이 제공되므로 반드시 확인후 구매하시기 바랍니다. *수록내용(영문으로 수록)* : 업체명, 주소, 전화번호, FAX, URL usiness Description> : 간략 사업소개 :주 거래소, US SIC ..
비지니스 > 기업분석 |
 [영문]ALDEASA S.A.사의 2004년까지 간략정보 ( 1Pages )
본 컨텐츠는 ALDEASA S.A.사의 간략정보로 2004년까지의 연간 매출액, 종사자수가 영문으로 수록되어 있습니다.문서 보안상 PDF로만 제공되며, A4 1쪽 분량이며, 견본이 제공되므로 반드시 확인후 구매하시기 바랍니다. *수록내용(영문으로 수록)* : 업체명, 주소, 전화번호, FAX, URL usiness Description> : 간략 사업소개 :주 거래소, US ..
비지니스 > 기업분석 |
 [영문]ALCATEL사의 2004년까지 간략정보 ( 2Pages )
본 컨텐츠는 ALCATEL사의 간략정보로 2004년까지의 연간 매출액, 종사자수가 영문으로 수록되어 있습니다.문서 보안상 PDF로만 제공되며, A4 1쪽 분량이며, 견본이 제공되므로 반드시 확인후 구매하시기 바랍니다. *수록내용(영문으로 수록)* : 업체명, 주소, 전화번호, FAX, URL usiness Description> : 간략 사업소개 :주 거래소, US SIC ..
비지니스 > 기업분석 |
31 32 33 34 35 36 37 38 39 40