전체 (검색결과 약 10,332개 중 37페이지)

 박사학위청구논문심사신청서 ( 1Pages )
[ 서식 5] 박사학위 청구논문 심사신청서 1. 인적사항 및 논문제출자격 여부 과정 학과 전공 입학 유형 학기 학번 성명 지도교수 입학년월일 주민등록 번호 연락처 (HP) E-mail 취득학점 영어시험 연구계획서 종합시험 2. 논문제목(영문논문일 경우 국문란에 영문제목을 입력하기 바람) 국문 영문 3. 연구계획서 제목 국문 영문 4. 심사위원추천(5명의 심사위원중 연구계획서 심사위원 3..
서식 > 학교서식 |
 Voltage-to-Current 변환회로를 수행 ( 3Pages )
실험 1. 회로 8-1의 Voltage-to-Current 변환회로를 수행하라. 을 1V에서 10V까지 parametric 해석을 하였다. 이 회로는 비반전 전압-전류 변환기(전류귀환 증폭회로)로 이상적인 op amp에서 입력 임피던슨 무한대이므로 들어가는 전류는 0이 되고 출력전류 이 되므로, 전압에 출력전류가 비례하게 된다. 따라서 위와 같은 결과가 나오게 되고, 이 에 비레하므로 전압-전류 변환기라 한다. 실험 3. 1차..
리포트 > 공학/기술 |
공학, 기술
 [정보시스템관리] 정보시스템의 평가, 보안, 통제, 감사 ( 7Pages )
[정보시스템관리] 정보시스템의 평가, 보안, 통제, 감사 목차 정보시스템의 관리 Ⅰ. 정보시스템의 평가 1. 평가절차 2. 평가의 문제점 Ⅱ. 정보시스템의 보안 1. 운영에 장애를 제공하는 요인 2. 정보시스템의 보안 Ⅲ. 정보시스템의 통제 1. 접근통제 2. 데이터보안통제 3. 입력출력통제 4. 처리통제 Ⅳ. 정보시스템의 감사 1. 컴퓨터 주변감사 2. 컴퓨터 관련감사 3. 컴퓨터에 의한 감사 정..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 전자회로실험 - 푸쉬풀 증폭기 ( 3Pages )
전자회로실험 - 푸쉬풀 증폭기 ●목 적 1. B급 동작을 정의하고 2. 푸쉬풀 전력증폭기의 원리를 이해하며 3. 이 증폭기의 주파수 특성을 조사한다. ●기기 및 부품 1. 직류가변전원 : 0-30V 2. 오실로스코프 3. 저주파신호발생기 4. 저항: 10Ω 1/2W, 2.2kΩ 1/2W*2, 8.2kΩ, 18kΩ 1/2W, 27kΩ 1/2W 5. 가변저항: 5kΩ 6. 커패시터: 0.0uF/50V 7. 트랜지스터: TIP41C(NPN), TIP32C(PNP) ●관련 이론 증..
리포트 > 자연과학 |
 엔코더, 스텝모터 실험 ( 7Pages )
실험 제목 Encoder 실험 실험 목적 회전 각도를 측정하는 증분식 Encoder의 기능 실험 실험 준비물 준비물: 증분식 엔코더 및 step motor 실험 셋 실험 방법 1. 그림과 같은 Encoder 실험 세트를 적색선을 +5V, 흑색선을 GND에 연결 2. 실험 세트의 A,B를 (저항과 LED 사이) 오실로스코프에 연결 3. Encoder의 축을 시계방향으로 천천히 회전시키면서 오실로스코프의 파형을 관찰하고 파형과 LED의 표시..
리포트 > 공학/기술 |
 MOSFET Single-Phase Inverter ( 15Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 MOSFET Single-Phase Inverter에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 인버터로서 4상한 쵸퍼의 이용 나. PWM과 180도-변조 단상인버터 3. 실험 요약 4. 실험 순서 가. 4상한 쵸퍼를 이용한 직류전력의 교류전력변환 나. 두 개의 MOSFET로 구성..
리포트 > 공학/기술 |
MOSFET Single-Phase Inverter, 4상한 쵸퍼를 이용한 직류전력의 교류전력변환, PWM과 180도-변조 단상인버터
 오실로스코프-신호 발생기 실험 ( 7Pages )
오실로스코프/신호발생기 실험 오실로스코프 《 실험목적 》 - 오실로스코프(Oscilloscope)의 동작원리에 대하여 이해한다. - 오실로스코프의 사용방법을 익힌다. - 함수발생기(Function Generator)의 사용법을 익힌다. - 파형관측, 전압, 주파수 및 위상측정 방법을 익힌다. - 리사쥬 도형에 의한 위상차를 측정한다. 《 관련이론 》 - 오실로스코프 시간에 따른 입력전압의 변화를 화면에 출력하..
리포트 > 자연과학 |
 추상데이타타입과객체 ( 18Pages )
추상 데이타 타입과 객체 지향 방법 순서 1.1 Java 입문 1.2 소프트웨어 생명 주기 1.3 추상 데이타 타입 1.4 객체지향 개념과 설계 1.5 Java 객체지향 프로그래밍 Java 입문 u Java의 특징 l 이식이 용이(portable), 분산 환경 지원(distributed), 실시간 (real time)이며 안정성(reliable) 있는 언어 l 객체지향 프로그래밍 언어 l 인터넷 환경에서 적합 u Java 의 8 가지 기본 타입(primitive type) l ..
리포트 > 사회과학 |
사회과학
 [경영학] 사무자동화 기기 ( 5Pages )
사무자동화 기기 1. 사무자동화 기기의 분류 (1) 사무 업무에 따른 분류 [숨은설명:시작] [TBODY] [숨은설명:끝] 사무실 업무 업무 유형 사무자동화 기기 자료 준비 업무 문서 및 도형 작성 워드프로세서, 워크스테이션 자료 처리 업무 데이터 처리 개인용 컴퓨터, 사무용 컴퓨터, 복사기 자료 전송 업무 데이터 전송 및 통신 FAX, 전자우편, LAN, VAN, ISDN, 원격지 회의 자료 저장 업무 정보 축적 및..
리포트 > 경영/경제 |
 제어학과-P I D 제어ppt ( 13Pages )
P I D 제어 목 차1. PID 제어란2. P, PI, PID 제어기의 특성3. 제어기의 특성과 각 요소4. PID 제어기의 정리5. 자료 출처 PID 제어란 제어 변수와 기준 입력 사이의 오차에 근거하여 계통의 출력이 기준 전압을 유지하도록 하는 피드백 제어 의 일종. 실제 산업현장에서 쓰이는 자동제어 방식 가운데서 가장 흔히 이용되는 제어 방식. P(Proportional) 은 비례 제어, I (Integral) 은 적분 제어, D(D..
리포트 > 자연과학 |
 디지털 실험 보고서 - 스위칭 회로 (pspice자료까지 첨부) ( 9Pages )
스위칭 회로 § 실험 목적 -AND, OR 논리의 진리표를 결정한다. -Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다 -Switching의 개념을 공부한다. § 실험부품 및 사용기기 SPST 스위치 DPST 스위치 릴레이(5V 동작) LED(red 또는 임의의 색) 릴레이(5V 동작) 5V 직류 전압전원장치 저항기 330 § 이론요약 디지털 논리는 단지 두 개의 조건만을 취급한다. 논리“1” 또는 논리 “0”. Switch는 ..
리포트 > 공학/기술 |
 [전자공학] 마이크로 응용설계- 도서관 좌석 시스템 ( 12Pages )
마이크로 응용설계 도서관 좌석 시스템 목차 1. 설계 목표 2. 좌석 시스템 예시 3. Flow Chart 4. 제작 과정 5. 완성사진 6. Trouble Shooting 7. 소스 코드 및 주석 설계 목표 입력 : 키패드를 통하여 자리 인식(7-segment 표시) 출력 : 누른 자리 =] Green LED ON 반납 예정 알림 =] Red RED ON 광운 중앙도서관 좌석 시스템 안내 원하는 좌석을 선택 후 *을 누르십시오 ]]1~64개 좌석 중 선택된 좌..
리포트 > 공학/기술 |
 3D 게임 엔진의 개괄 ( 27Pages )
3D 게임 엔진의 개괄 2013-03-11 1 차 례 3D 세계를 구현하는 엔진 퀘이크(Quake), 언리얼(Unreal), 리스텍(Lithtech) 엔진 3D 엔진 기술해부 렌더링(Rendering) 엔진 애니메이션(Animation) 엔진 물리(Physics) 엔진 인공지능(AI) 엔진 국내 3D 게임 엔진 기술의 내일 2013-03-11 2 게임엔진이란 게임의 하부에서 처리할 수 있는 모든 일을 처리하는 API의 모음 그래픽, 음악, 효과음을 출력하며, 입력장치..
리포트 > 공학/기술 |
 정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정 ( 20Pages )
집적회로(VLSI)의 설계 과정 VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계 후반부 : Layout 데이터 생성 2.1 상위 레벨 합성(High Level Synthesis) 2비트 입력 4비트 출력 X(0) F(0) X(1) F(1) Y(0) F(2) Y(1) F(3) (a) 설계 사양의 블록도 (b) 설계 사양의 입출력 library ieee; use ieee.st..
리포트 > 공학/기술 |
 매트랩 보고서 - 파일다루기 ( 9Pages )
과제제목: 파일다루기 프로그램과 설명 - 8명의 항공대학교 수리과 학생들의 ‘이름’을 타이핑하면 각 학생들의 ‘취미’, ‘수학점수’, ‘영어점수’, ‘과학점수’를 알 수 있는 정보를 제공해주고, 그들의 평균값을 자동 산출하여 평균 성적으로 등수를 매기는 프로그램이다. 프로그램 실행 후 출력결과 ① ]]first 실행 ② inputdlg에 의해 나온 입력란에 자료 입력 변수 입력 값 이름 취미 수학점수 영..
리포트 > 공학/기술 |
31 32 33 34 35 36 37 38 39 40