전체 (검색결과 약 4,278개 중 37페이지)

 폐합트래버스 ( 3Pages )
폐합트래버스 1. 실습 목적 -호수 주위의 각 지점을 원점에서 트랜싯을 이용해 각도를 측량한 후 각 지점들의 좌표를 구하고, 칠각형의 넓이를 잰다. 2. 실습 방법 (1) 트랜싯을 이용한 각도측량을 한다. (2) 다각형의 내각의 합과 비교해 오차보정을 한다. (3) 각 측점의 거리를 구한 후 방위각과 거리를 바탕으로 측점들의 위거, 경거를 구하는 방법으로 좌표를 구한다. (4) 좌표들의 오차를 보정한다. ..
리포트 > 자연과학 |
 정보통신 실습 - AND_OR_NOT 게이트 실험(결과 보고서) ( 4Pages )
ANDORNOT 게이트 실험 1. 실험 목적 ▣ 논리 게이트인 AND, OR, NOT 게이트의 동작특성을 이해한다. ▣ AND, OR, NOT 게이트의 진리표와 논리식을 실험을 통해 확인한다. 2. 실험 결과 1 : (+), 0 : (-) 표 1 AND, OR, NOT 게이트 실험 데이터 (5V의 전압을 걸어 주었을 때) A B C 전압 0 0 0 4.39 V 0 0 1 155 mV 0 1 0 4.38 V 0 1 1 155.2 mV 1 0 0 4.37 V 1 0 1 4.38 V 1 1 0 154.9 mV 1 1 1 155.3 mV ..
리포트 > 공학/기술 |
 LG이노텍 연구개발 직무 첨삭자소서 ( 6Pages )
왜 'lg이노텍'을 선택하여 지원했는지와 일하고 싶은 분야 및 그 이유를 구체적으로 기술해 주십시오. 그 프로그램 내에서 '창의적 설계실습', '종합설계실습' 등 다양한 설계 과목을 수강하게 되었고 그를 통해 많은 역량을 기를 수 있게 되었습니다. 이를 통해 다양한 주제의 신제품 개발에 대한 역량을 키우게 되었습니다. 설계를 위한 역량 특히 '기계요소설계' 과목을 수강하면서는, 전기자동차에 들어..
서식 > 자기소개서 |
설계, 모터, 되어다, 사용, 다양하다, , , 로봇, , 프로그램, 실습, 역할, 이다, 과목, 차량, 많다, , 통해, 위해, 해보다
 어린이집 보육실습일지(부분수업과 종일수업 진행내용 계획서) ( 11Pages )
1.부분수업 2.종일수업 T: 자, 친구들 텔레비전 보는 것 좋아해요? C: 네 T: 텔레비전 프로 중 어떤 것이 제일 재미있어요? C: TV 유치원이요 T: 그렇구나. TV 유치원에는 우리 친구들처럼 예쁜 친구들이 나와서 노래 도 부르고 율동도 하고 그러지요? C: 네 T: 우리 친구들도 텔레비전에 나왔으면 좋겠어요? C: 네 T: 그럼 우리 함께 ‘텔레비전에 내가 나왔으면’ 노래 불러볼까요? C: 네 율동하며 노래 부..
리포트 > 사회과학 |
어린이집, 보육실습일지, 보육실습, 부분수업, 종일수업, 진행내용, 부분수업계획서
 정보통신 실습 - 부울대수의 정리(결과 보고서) ( 6Pages )
부울대수의 정리 1. 실험 목적 ▣ 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. ▣ 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. ▣ 다양한 논리회로를 부울대수식으로 표현하는 능력을 배양한다. 2. 실험 결과 그림 2-3 AND-OR과 OR-AND 게이트 실험회로 D 표 1 AND-OR과 OR-AND 게이트 실험회로 입력 신호 출력 신호 A B C X Y 0 0 0 155 mV 155.3 mV 0 0 ..
리포트 > 공학/기술 |
 c프로그래밍 실습 - 공약수와 최대 공약수 출력하기 ( 4Pages )
과목명 고급프로그래밍및실험 분반 담당교수 학과 전자통신공학과 학번 이름 공약수와 최대 공약수 출력하기 1, 과제설명 첫 번째 consol화면은 조건문인 if문과 반복문인 for문을 이용하여 공통된 약수를 구할 두 수를 입력받으면 공약수들이 화면에 출력되게 프로그램을 짜보는 것이고 두 번째 consol화면은 위와 마찬가지로 공통된 약수를 구할 두 수를 입력받으면 공약수들 중에서 최대 공약수만 ..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 생산 시스템 설계 및 실습 - 도요타 생산방식[TPS]에 대해서 ( 8Pages )
목 차 1. 도요타의 역사 - 도요타 생산방식(TPS)의 탄생 배경...3 2. 도요타의 기업문화 - 노사화합과 인재육성...4 3. 도요타 생산방식(TPS) - JIT(JUST IN TIME)...5 - 인변의 자동화...6 4. 도요타 생산방식(TPS)의 적용 - 중소기업에 도입...8 1. 도요타의 역사 - 도요타 생산방식(TPS)의 탄생 배경 도요타의 시작은 섬유기계 제작으로 성공한 도요타 사키치(豊田佐吉, 1869∼1930)에서 시작..
리포트 > 공학/기술 |
 문헌정보교과과정-도서관인턴쉽비교 ( 74Pages )
문헌정보 교과 과정과도서관 인턴쉽의 비교 목 차 Ⅰ 서론 - 조사 목적과 개요 Ⅱ 본론 ⅰ 노원정보도서관 인턴쉽 분석 ● 인턴쉽 프로그램 개요 ● 인턴쉽 교육내용 개요 ● 교육담당 사서와의 개별 인터뷰 ● 프로그램과 교육내용 정리 ⅱ 교과과정 분석 ● 학년별 교과과정 정리 ● 분야별 교과과정 정리 ⅲ 인턴쉽과 교과과정 비교 분석 결과 Ⅲ 결론 Ⅰ. 조사 목적과 개요 ● 목적 노원정보도서관의 2년
리포트 > 경영/경제 |
 수준측량 보고서 ( 8Pages )
◀목차▶ Ⅰ 실 습 목 적 ………2 Ⅱ 실 습 장 비 ………2 Ⅲ 실 습 방 법………2 Ⅳ 실 습 장 소 ………3 Ⅴ 실 습 시 주 의 사 항………4 Ⅵ 실 습 결 과 ………4 Ⅶ 오 차 및 보 정………6 Ⅷ 고 찰 ………7 Ⅸ 수준측량에서 발생할 수 있는 오차의 종류 및 특성…8 1. 실습목적 실내강의에서 학습한 직접 수준측량의 방법과 원리에 대해서 이해함으로써, 기본적인 수준측량 기술을 습득한다. 실습 중에 발생한 문
리포트 > 공학/기술 |
 LG CNS 스마트디펜스 직무 첨삭자소서 ( 4Pages )
그렇기 때문에 국방 관련 분야로 일을 할 수 있게 된다면 통신 관련 업무를 배우는 데 있어 더없이 좋을 것이라고 판단했습니다. 국방시스템도 위성통신 시스템과 같이 고 신뢰성을 가져야 하기 때문에 현장실습을 통해 국방산업 분야에 대해서 생각해볼 수 있었습니다. 차근차근 더 많은 지식을 습득해야 하는 것은 당연한 일입니다. 현재 배우고 있는 것에서 더 발전하기 위해 자신이 무엇을 더 노력할 것..
서식 > 자기소개서 |
시스템, 국방, , 배우다, 통신, 생각, 분야, 해보다, 실습, 되어다, 좋다, 설계, 지식, 산업, 관련, , 연구원, 해주다, 싶다, 현장
 기자재관리규정 ( 15Pages )
기자재 관리 규정 제1장총칙 제1조 (목적) 이 규정은 ○○○의 실험실습기자재를 합리적으로 구입관리정비보존하여 대학교육을 효율적으로 수행하기 위하여 필요한 사항을 규정함을 목적으로 한다. 제2조 (정의) 실험실습기자재라 함은 내외자로 구입된 모든 기자재와 기증품으로써 기계, 기구, 용구, 표본, 모형 등 구입 금액이 5만원 이상의 것을 말하며, 교내외에서 지원하는 연구용역을 수행하기 위하여..
서식 > 회사서식 |
 SMD Package Styles ( 19Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 PCB 설계실습 과목 강의 및 report에 이용되는 자료로서 SMD Package Styles 에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. BCC : Bump Chip Carrier 2. BGA : Ball Grid Array 3. BQFP : Bumpered Quad Flat Pack 4. CABGA/SSBGA : Chip Array/Small Scale Ball Grid Array 5. CBGA : Ceramic Ball Grid Array 6. CCGA : Ceramic Co..
리포트 > 공학/기술 |
SMD 패키지 타입 과제, Package type 관련 report, SMD Package Styles
 아주대학교병원 의료간호직 지원자 자기소개서 ( 1Pages )
아주대학교병원 간호사(의료간호직)지원자 자기소개서 샘플입니다. 1. 자기소개 병원 행정실에 근무하시는 아버지와 간호사로 활동하셨던 어머니의 영향으로, 저희 집은 다른... 1-1. 부모님의 영향을 받아 직업을 선택하게 된것처럼, 성격 역시 아버지의 성실과 정직함, 그리고... 1-2. 저의 지난 대학 4년은 다양한 실습과 의료 지식을 학습하고 의료인으로서의 역량과 사명감을 키워온... 1-3...
서식 > 자기소개서 |
아주대학교병원, 대학병원, 병원, 자기소개서, 간호사
 시스템해석 - 랜딩기어 설계 및 matlab 실습 ( 20Pages )
-Contents- 1. Introduction 1.1 Aircraft-Arresting Gear System 1.2 The Purpose of the Project 2. Given data and Qualifications 2.1 Given Data 2.2 Qualifications 3. Actual System analysis 3.1 Landing distance and speed 3.2 Other Components Properties 4. Linearized System analysis 4.1 System Linearize 4.2 Linearized System Properties 5. Conclusion 첨부 : M-file..
리포트 > 공학/기술 |
31 32 33 34 35 36 37 38 39 40