전체 (검색결과 약 23,659개 중 38페이지)

 디지털논리회로 - 고속 동작 곱셈기 설계 ( 9Pages )
1. 제목: 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을 이용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다. 3. 목표 및 기준 설..
리포트 > 공학/기술 |
 논리회로 실험 - 555 타이머를 이용한 10진 업카운터 설계 ( 28Pages )
555 타이머를 이용한 10진 업 카운터 설계 555 타이머 BCD-to-7세그먼트 디코더 7-세그먼트 업 카운터 클럭 BCD 코드 7-세그먼트 각각에 대응 555 타이머란 비안정 멀티바이브레이터를 형성할 수있는 IC소자 단 2개의 저항과 1개의 커패시터로 출력주파수와 듀티사이클(duty cycle)을 제어 핀 구성도 1번 핀 GND : 접지에 직접적으로 연결 2번 핀 TRIGGER (TG) : 전원 전압의 1/3보다 낮은 전압이 되..
리포트 > 공학/기술 |
 전자회로 설계 - BJT Amp 설계 ( 10Pages )
1. 회로설명 ※ 이번 BJT-Amp 설계의 목표는 다음과 같다 1. Gain = 20DB 2. Input impedance 는 1k 이상 3. Output impedance 는 10 이하 ※ 입력 전압을 증폭시키기 위한 기본적인 Common emitter는 다음과 같다. Common emitter ※ Common emitter에 다른 소자들을 추가 시킬 경우 Spec에 많은 차이를 나타내므로 대략적인 Spec을 조사해보자. ※ Dc Bias 좌측의 그림과 같이 Common emitter의 Ba..
리포트 > 공학/기술 |
 논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 ( 13Pages )
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 : ps_start - 종료버튼 : ps_end - 수정버튼 : ps_mod - 비밀번호 : ps_num ② 출력 - 문 열림 : door_open - 알람 : alarm ③ 상태 -ready -in..
리포트 > 자연과학 |
 전기전자-직병렬 회로의 저항 ( 3Pages )
1. 실험목적 (a)직-병렬회로의 총 저항 Rt를 구하기 위한 규칙들을 실험적으로 입증한다. (b)지정된 전류조건을 만족하는 직-병렬회로설계한다. 2. 이론적 배경 2.1 직-병렬회로의 총 저항 그림 14-1은 저항기의 직-병렬연결을 보여준다. 이 회로에서 R₁은 B-C 사이의 병렬회로 및 R₃에 직렬이다. 점 A-D 사이의 총 저항은 얼마인가 Rt는 저항계를 사용하여 측정될 수도 있으며, EH한 실험 8에서 설명된..
리포트 > 자연과학 |
 전자회로설계 - 저항 , 전압, 전류의 측정방법 설계 ( 5Pages )
1. 제목 저항 , 전압, 전류의 측정방법 설계 2. 목표 DMM을 이용한 저항, 전압, 전류의 측정방법을 설계하고 실험적으로 확인한다. 3. 이론 1) ohm 의 법칙 V=IR 2) 저항 읽기 (저항의 색채 기호) 검정 0 갈색 1 빨강 2 주황 3 노랑 4 초록 5 파랑 6 보라 7 회색 8 흰색 9 금색 -1(5%) 은색 -2(10%) 무색 (20%) 3) 요즘 소형 전지전자 제품의 제작에는 후막저항이 많이 사용되며 이는 chip저항이라고도 불..
리포트 > 공학/기술 |
 플립플롭(Flip-Flop) ( 4Pages )
◎ 플립플롭 대다수 놀리 회로들은 그들의 출력 값들이 현재 상태의 입력뿐만 아니라, 이전 상태의 값에 의해서 그 결과가 결정되는 성격을 갖는다. 이런 성격의 논리 회로들을 순차 회로라고 한다. 순차 회로의 상태는 현재 상태를 결정하기 위해서는 이전 상태의 값들에 대한 모든 정보를 갖고 있어야 한다. 그러므로 순차 회로설계에는, 차후의 상태나 현재의 상태를 결정하기 위해 이전 상태의 값..
리포트 > 공학/기술 |
 전기설계에 사용하는 심볼 모음(acad file) ( 1Pages )
오토캐드로 전기 설계시 필요한 심볼 파일입니다. * 전기 수.배전 설게시에 필요한 심볼 파일입니다. 큐비클, Motor control center(MCC), Motor control panel(MCP), 분전반 설계시에 사용합니다. * 전기 시퀀스 회로 몇몇이 첨부되어 있습니다. => MCC 직기동 회로 , 복기동 회로, 메인 회로 * MCC 스케줄 표기 형식이 첨부되어있습니다. (모든 첨부는 ACAD FILE입니다.)
정보/기술 > 전기전자 |
 [레포트] 광운대학교 전기전자회로 실험 ( 7Pages )
Report 설계1 제안서 목차 1.실험 목표 2.관련 이론 3.브레인스토밍 4.브레인스토밍(결과) 5.사용재료 6.역할 분담 7.설계 일정 8.문제 및 해결방안 1.실험 목표 a)부품이해와 회로도 분석능력을 키우려 노력한다. b)라디오를 설계함으로서 주파수의 원리에 대하여 숙지한다. c)AM라디오를 제작하고 완성한다. d)예상된 문제들을 해결해 본다. e)조원들과의 협동심을 키운다. 2.관련 이론 1)AM전파..
리포트 > 공학/기술 |
 전자회로설계 - 전원, DMM의 내부저항 측정장치 설계 ( 4Pages )
1. 제목 전원, DMM의 내부저항 측정장치 설계 2. 목표 건전지 내부저항을 측정하는 장치와 DMM의 내부저항을 측정하는 장치를 설계, 제작,측정하고 전압안정 직류전원의 동작원리를 이해한다. 3. 이론 1) ohm 의 법칙 V=IR 2) 저항 읽기 (저항의 색채 기호) 검정 0 갈색 1 빨강 2 주황 3 노랑 4 초록 5 파랑 6 보라 7 회색 8 흰색 9 금색 -1(5%) 은색 -2(10%) 무색 (20%) 3) 요즘 소형 전지전자 제품의 제..
리포트 > 공학/기술 |
 기초전기전자 실험 - 트랜지스터(고정 bias 회로설계) ( 6Pages )
기초전기전자 실험 - 트랜지스터(고정 bias 회로설계) 1. 목 적 1)TR의 특성을 이해하고 특성중 전류증폭을 확인하라. 2)펑션 제너레이터를 이용하여 전류증폭작용을 DSO를 통해 확인하자. 2. 이 론 저번주 실험은 트랜지스터의 “스위치작용”였고 이번 실험은 “전류증폭”이다. 그래서 이론은 트랜지스터 이므로 동일하여 같이 사용하고 전류증폭에 관련된 것을 뒤에 더 추가하였다. 트렌지스터 (TR) ..
리포트 > 공학/기술 |
 기초전기회로실험 - 직렬, 병렬회로의 총 저항 Rt를 구하기 위한 규칙들을 실험 ( 6Pages )
실험목적 1. 직-병렬회로의 총 저항 Rt를 구하기 위한 규칙들을 실험적으로 입증한다. 2. 지정된 전류조건을 만족하는 직-병렬회로설계한다. 이론적 배경 그림을 보면 저항기의 직-병렬연결을 보여주고 있다. 이 회로에서 R₁은 점 B-C 사이의 병렬회로 및 R₃에 직렬이다. 점 A-D 사이의 총 저항을 그럼 얼마일까 RT를 구하기 위해서는 저항계를 사용하여 측정될 수 도 있으며, 전압-전류방법으로 구해..
리포트 > 자연과학 |
 배치설계관리인선임(변경)등록신청서 ( 1Pages )
(접수인란) (결재인란) 담당 심사관 배치설계관리인선임(변경)등록신청서 신청인 (관리인 또는 배치설계권자) 성명 주민등록번호 주소 (전화번호) 국적 배치설계권설정등록번호 반도체집적회로의 명칭 권리의표시 배치설계권자의 표시 (배치설계권자가 신청하는 경우 관리인의 표시) 성명 주민등록번호 주소 (전화번호 국적 대리권의범위 등록원인 및 발생연월일 등록의목적 반도체집적회..
서식 > 행정민원서식 |
 [전기회로 검사법] PSpice 사용법 ( 14Pages )
[전기회로 검사법] PSpice 사용법 1. PSpice 일반 1-1. PSpice 란 전기, 전자 및 디지털 회로 등을 설계 할 경우에는 회로 특성을 평가할 수 있는 정확한 방법이 필요하다. 이러한 회로를 직접 제작하여 실험할 수도 있지만, 회로 구성 및 특성 해석에 많은 시간과 계측장비 및 경비가 필요하기 때문에 실제로 회로를 제작하기 전에 컴퓨터를 이용하여 계산하고, 측정, 평가하는 과정을 거치는 것이 현재 ..
리포트 > 공학/기술 |
공학, 기술
 전자회로 설계 및 실험 - 다이오드 특성 ( 5Pages )
전자회로 설계 및 실험 - 다이오드 특성 실험 목적 : 실리콘과 게르마늄 다이오드의 특성곡선을 계산하고, 비교하고, 측정한다. *실제 실험은 si 다이오드만 이용하여 측정하였다. 실험 순서 1.다이오드 검사 다이오드 검사 단자 그림과 같이 다이오드 양쪽에 멀티미터를 연결하여 전위를 측정한다. 다이오드가 정상이라면 순방향 연결시 약간의 전압강하가 일어난다. 역방향 연결시는 개방회로를 ..
리포트 > 공학/기술 |
31 32 33 34 35 36 37 38 39 40