전체 (검색결과 약 1,485개 중 38페이지)

 실험보고서 - 분압기[Voltage Divider] 설계 ( 4Pages )
분압기(Voltage Divider) 설계 1. 실험요약 분압기는 실험실이나, 가전제품 등에서 입력전압보다 낮은 전압이 필요할 때 많이 쓰이는 장치이다. 실험을 통해 고정된 전류전압원으로부터 그보다 낮은 전압을 얻는 분압기(votage divider)의 개념을 이해하며 무부하, 부하시의 분압기를 제작해봄으로써 또 분압기에 부하가 연결될 경우, 부하가 미치는 영향을 파악할 수 있어야 한다. 또한, 이를 바탕으로 ..
리포트 > 자연과학 |
 bcd코드, gray코드 10진카운 ( 6Pages )
BCD코드,Gray코드 10진 카운터 설계 목차 1.Gray코드설명 2. BCD코드,Gray코드 10진 카운터 설계 3.카르노 맵 작성 4.회로도 구성 Gray코드란 ....
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 [일반물리학 실험] 오실로스코프와 함수 발생기 실험 ( 4Pages )
[일반물리학 실험] 오실로스코프와 함수 발생기 실험 1.실험목적 1.함수발생기와 오실리스코프의 조작법을 읽히고 각각의 저항에 걸린 전압을 구한다. 2.RC회로에서 축전기와 저항에 걸린 전압의 위상차로 인해 그려지는 리사주 곡선을 이해한다. 2.배경이론 1)저항이 직렬로 연결된 회로에서 전압은 저항에 비례하며 저항에 걸리는 전압의 총 합은 전체전압과 같다. 2)곡선 X(t)= (Cos(wt),Cos(w’t+))로..
리포트 > 공학/기술 |
 일반물리학 실험 - 옴의 법칙 (전류_전압 측정) ( 4Pages )
1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하고 저항 색코드를 익힌다. 3. 관련이론 옴의 법칙 1826년 G.S.옴이 발견한 물리학의 기본법칙의 하나이다. 전위차를 V, 전류의 세기를 I, 전기저항을 R라 하면, V=IR의 관계가 성립한다. ..
리포트 > 자연과학 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 멀티미터의사용법 ( 6Pages )
실험 1 멀티미터의 사용법 1. 목적 본 실험에서는 일반 멀티미터 및 디지털 멀티미터의 기본 원리, 규격서 검토 및그 사용법을 익힌다. 2. 이론 ① 일반 멀티미터의 기본 구성 일반적으로 멀티미터는 직류 전압, 교류 전압, 직류 전류 및 저항 측정의 네 가지 기본 기능의 회로로 구성되며, 이들은 영구자석을 사용하는 가동 코일형 직류 전류계 M, 배율기와 분류기 K, 다이오드 D1, 전지 E및 전환 스위치..
정보/기술 > 토목/건축 |
 [컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation ( 21Pages )
CSA (Carry Select Adder) Design and Simulation Contents 2 1. Carry-Save Number Representation 3 2. An Outline of Adder 3 2.1 Ripple Carry Adder 3 2.2 CLA (Carry Look Ahead Adder) 4 2.3 CSA (Carry Select Adder) 5 3. An Outline of CSA 6 4. A Specific Logic Design 7 4.1 Full Adder of 1 bit 7 4.2 Ripple Carry Adder of 4-bits 7 4.3 Multiplexer 8 4.4 Put Together and Merg..
리포트 > 공학/기술 |
 컴퓨터의 발달사 ( 5Pages )
⑴제1세대 컴퓨터(first generation; 1951∼1959 : 진공관시대) ⑵제2세대 컴퓨터(second generation; 1959∼1963 : 트랜지스터 시대) ⑶제3세대 컴퓨터(third generation; 1964∼1971 : 집적회로시대) ⑵제4세대 컴퓨터(forrth generation ; 1971∼) ⑸제5세대 컴퓨터(fifth generation ;∼)
리포트 > 공학/기술 |
 일반물리학실험 - RC시상수 ( 5Pages )
실험 목적 이번 실험은 RC시상수에 관한 실험으로서 각각 실험에 따라 시상수를 측정해보는 실험이다. 멀티미터에 일정한 볼트를 주어서 그에 따른 시간 상수를 알아 본다. 실험 이론 1. 충전(charge) 기전력에 의하여 Capacitance가 충전된다. 옆 그림과 같이 구성된 회로회로 방정식은 식 (1)과 같다. (1) 전류 i 값에 옆의 식(2)를 대입하여, ...(2) 식(1)을 풀면, 식(3)을 얻을 수 있게 된다..
리포트 > 자연과학 |
 일반물리학 실험 - 옴의 법칙 (전류_전압 측정) ( 4Pages )
일반물리학 실험 - 옴의 법칙 (전류․전압 측정) 1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하고 저항 색코드를 익힌다. 3. 관련이론 옴의 법칙 1826년 G.S.옴이 발견한 물리학의 기본법칙의 하나이다. 전위차를 V, 전류의 세기를 I, ..
리포트 > 공학/기술 |
 커패시터와 인덕터 ( 5Pages )
실험 목적 비저항성 회로소자인 커패시터와 인덕터에 관한 기본 성질을 이해하고, RC 또는 RL회로를 통해서 커패시턴스와 인적턴스를 측정해 본다. 실험 이론 커패시터(Capacitor)와 인덕터(Inductor)는 비저항성 소자들로서, 두 개의 대전체 사이에 형성되는 전장과 코일에 생성되는 자장 속에 각각 에너지를 저장한다. 이들 소자들은 저항과는 달리 동적(Dynamic) 특성을 보이며, 커패시턴스(Capacitanc..
리포트 > 사회과학 |
사회과학
 능동여파기 실험 보고서 ( 7Pages )
능동 여파기 [ Active Filter ] 1. 실험 목적 OP AMP를 이용하여 Low-pass Filter / High-pass Filter / Band-pass Filter 의 동작 원리를 이해하고 설계방법을 실습한다. - 실험1. 저역통과 여파기 (Low-Pass Filter) - 2. 실험 관련 이론 가장 간단한 2차 Low-pass Filter는 VCVS (Voltage Controlled Voltage Source) 회로인데, 그 회로는 아래와 같이 구성되고, 이 회로에 대한 차단주파수는 다음..
리포트 > 자연과학 |
 [Verilog프로그래밍]D래치, 플리플롭, shift register ( 6Pages )
1. 목적 Verilog의 연속할당문을 사용한 래치 설계 방법과 always 문을 사용한 동작적 모델링 방 법의 래치, 플립플롭 설계 방법을 익히고 이를 간단한 회로의 설계에 적용한다. 2. 기초지식 - feedback이 있는 dataflow 모델링 방법 동작적 모델링에서 회로의 동작은 부울함수와 수식으로 기술 할 수도 있고 알고리즘과 같은 추상적인 표현을 사용하여 나타낼 수도 있다. 그 중에서 부울함수와 같은 수식으..
리포트 > 공학/기술 |
 발기인대회참석통보서 ( 1Pages )
발기인대회 참석 통보서 행사명 일시 장소 참석업체 업체명 (성명) 대표자 소재지 전화번호 기타사항 ※ 참석을 희망하는 회원사는 기재하시어 20 ..( )까지 협의회로 통보(팩스 02-322-1111)하여 주시기 바랍니다.
서식 > 생활서식 |
31 32 33 34 35 36 37 38 39 40