전체 (검색결과 약 23,607개 중 39페이지)

 전자회로설계 - 저항, 전압, 전류의 측정방법 설계 ( 6Pages )
저항, 전압, 전류의 측정방법 설계 1. 실험요약 DMM은 회로측정 실험시 가장 많이 쓰이는 측정장비의 하나로써 이 기기의 사용법은 필수적으로 익혀두어야 하고, 정확한 사용법과 그 원리의 이해해야 한다. 이번 실험에서는 DMM을 사용한 전압 전류, 저항의 측정 방법을 익힐 수 있었다. 또한 예측값과 실험값이 거의 정확하게 나와 오차가 거의 없었다. 2. 실험결과값 2.1) 5%, 10 kΩ, 30개 고정저항 ..
리포트 > 공학/기술 |
 설계 프로젝트 - 4층 엘리베이터 컨트롤러 설계 실습 ( 11Pages )
설계 프로젝트 - elevator controller 설계 [ 제 목 ] 4층 엘리베이터 컨트롤러 설계 실습 [ 목 표 ] 엘리베이터 컨트롤러 설계 실습을 통해 지금까지 습득한 논리 회로 지식을 적용해 보고, 제시된 입력과 동작 기술에 맞게 설계되었는지 검증하는 연습을 해 본다. [ 설계조건 ] ① 4 층 건물의 엘리베이터를 기준으로 설계할 것 ② Hall 에는 1 층은 Up, 4 층은 Down 입력만 가지며, 2 층과 3층 은 Up..
리포트 > 공학/기술 |
 배선설비 ( 12Pages )
1. 배선설비의 개요 - 배선 설비라 함은 건물에 시설하는 전등, 콘센트, 전동기, 전열 장치 등의 전 기설비를 말하며, 이러한 설비를 어떤 장소에 설비하며 이에 대한 배선을 어떻 게 시공하는가를 정확하게 표시한 배선도를 작성하는 것을 배선 설비 설계라고 말한다.배선 설비를 설계하는 순서는 건물의 종류에 따라 차이가 있으나 대략 다음과 같은 순서로 진행한다. ① 부하용량(負荷容量)..
정보/기술 > 전기전자 |
 [시스템설계] CMOS VLSI LT 스파이스 ( 10Pages )
Example1 (1) Control Panel - LTspice의 제어 및 설정을 할 수 있다. (2) 회로⓵ ↑ Highlight Net(같은 노드 표시) (3) 회로⓶ - Transient Analysis : 시간에 따른 회로 분석 - DC Analysis : DC 특성 분석 Example2 (1) OP-AMP 증폭기 설계 * opamp의 내부회로를 꾸민다 – Hierarchy탭에서 Create a new symbol 클릭 - draw기능으로 symbol 모양을 꾸밈 - input port와 output port를 설정(여기..
리포트 > 공학/기술 |
 [회로소자를 이용한 실험] Band Reject Filter 설계(Band; 1㎑ - 21㎑) - 대역차단 필터의 설계 ( 5Pages )
Band Reject Filter 설계(Band : 1㎑ ~ 21㎑) 1. 설계목적 자동차의 엔진이 회전하면서 발전기(Alternator)도 함께 회전하며 AC전기를 생산하게 된다. 여기에서 생성된 AC전원을 DC로 바꾸어 자동차 내부의 여러 전자장치들에 DC전원을 공급하게 된다. 문제는 이 DC 전원속에 여전히 약간의 AC성분 잡음이 남아 있게 된다는 것인데, 자동차 엔진의 회전수(RPM)에 따라 그 잡음 주파수가 변하기도 한다. ..
리포트 > 공학/기술 |
 전자회로설계 - 저역통과 필터 및 고역통과 필터 조사[예비,결과 보고서] ( 10Pages )
실험 예비 보고서 학번 및 이름 : 실험 제목 :저역통과 필터 및 고역통과 필터 조사 1. 저역통과 필터와 고역통과 필터에 대해 설명 하시오. 저역통과 필터 - ⓵ 주파수의 저역 부분을 통과시키는 필터. 그림에서 0≦f<fc의 주파수범위를 출력으로서 꺼낸다. 자유롭게 통과할 수 있는 주파수대를 통과대, 통과를저지 하는 주파수대를 감쇠대라 한다. 또, 통과대와 감쇠대와의 경계의 주파수 fc를 차단 ..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 CDMP3 PLAYER 회로 ( 5Pages )
첨부 자료는 가정용 Analog boombox 에서 CDMP3를 CD 또는 USB로 부터 파일을 읽어서 Play하는 제품에 대한 reference 회로도 임. 따라서, 기업 또는 개인이 이러한 제품을 설계 및 제품 생산 등을 하기 위해서 필요하며 EMI,ESD등이 이미 회로에 적용되어 있슴.
정보/기술 > 전기전자 |
회로도, CDMP3, Audio, H/W
 [전자회로실험] Orcad 실험 ( 9Pages )
[전자회로실험] Orcad 실험 1. 실험제목 1) Orcad 실험 2. 목적 1) Orcad를 이용하여 회로도를 그리고 시뮬레이션 하는 방법을 익힌다. 2) 시뮬레이션의 종류가 여러 개 있음을 알고, 각 종류마다의 사용법을 익힌다. 3. 이론 1) Spice (Simulation Program eith Integrated Circuit Emphasis) 전기, 전자 및 디지털회로 등을 설계할 경우에는 회로 특성을 평가할 수 있는 정확한 방법이 필수적이다. ..
리포트 > 공학/기술 |
 전기 및 디지털 회로 실험 - Excess-3 ,Gray to BCD converter ( 16Pages )
전기 및 디지털회로 실험 Excess-3 ,Gray to BCD converter 목 차 1. NAND gate, Nor gate, Not gate 만을 사용하여 설계한다. 2. gate의 수를 최소화한다. 3. 10 이상의 숫자에서는 7 Segment 에 E 표시가 나오게 한다. (4입력이기 때문에 표에 없는 6가지) 4. 두 가지 이상(기본적으로 Excess-3 Code , Gray Code )의 Code 유형을 받아들일 수 있도록 한다. ‘Gray Code’와 ‘Excess-3 Code’..
리포트 > 공학/기술 |
 컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계 ( 5Pages )
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Machine Design 1$의 입력을 받으며 1$짜리 Coffee와 3$의 Sprite를 선택 할 수 있는 Vending Machine을 설계 해 본다. 가. State에 따른 Segment 및 추가 LED 설계 이번 실험에서 사용된 Segmen..
리포트 > 공학/기술 |
 SK하이닉스 소자 직무 합격 자기소개서 ( 3Pages )
전역 후 전공과목을 많이 수강하고 좋은 성적을 받아 전공평점은 조금 더 높습니다. 해당 분야의 필수 전공과목인 '전자회로' 과목과 '반도체 소자' 과목을 집중적으로 공부하였고, 두 과목 모두 A+의 좋은 성적을 거두었습니다. 스스로의 지적 호기심과 탐구에 대한 보상을 받았다는 생각이 들었고, 이러한 탐구정신을 다른 전공과목들에서도 유지하여 대부분의 전공과목에서 좋은 성적을 거두었습니다. 실..
서식 > 자기소개서 |
과목, 회로, 실험, 성적, 생각, , 설계, 이러하다, 좋다, 해당, 과정, 프로젝트, 꼼꼼하다, , , 받다, 목표, 탐구, 문제, 학습
 전자공학 실험 - BJT의 특성과 바이어스회로 ( 11Pages )
BJT의 특성과 바이어스회로 1. 실험 목적 - 바이폴라 접합 트랜지스터의 직류 특성을 직류 등가 회로와 소신호 등가회로의 모델 파라미터들을 구한다. 그리고 바이어스 원리와 안정화를 학습하고, 전압 분할기 바이어스 회로에서 동작점의 변화에 대한 출력 파형의 변화를 실험으로 관측한다. 2. 실험 해설 - 바이폴라 접합 트랜지스터(BJT:bipolar junction transistor)는 개별회로나 집접회로설계에..
리포트 > 공학/기술 |
 PMSM의 속도 제어회로 OrCAD로 작성 ( 12Pages )
목차 1. 설계 목적 2. 이론 ① PMSM ② IGBT ③ 스위칭소자로서의 MOSFET ④ 인버터 ⑤ Inverse_DQ ⑥ PID제어기 3. 설계내용 ① 단상인버터 설계 ② 3상인버터 설계 ③ Inverse DQ ④ 설계에 사용된 PMSM ⑤ PI제어기 ⑥ 전체블록선도 ⑦ 무부하에서 출력파형 ⑧ 부하입력시 출력파형 4. 결론 1. 설계목적 전기기기 및 제어설계 수업에서 배운 내용을 토대로 Simulink를 이용하여 PMSM 속도제어회로설계 할 수 있..
리포트 > 공학/기술 |
31 32 33 34 35 36 37 38 39 40