전체 (검색결과 약 7,781개 중 40페이지)

 미디어렙 법 개념, 특징, 기대효과, 발전방향, 배경, 사례, 행정, 체계, 역할, 운영현황, 극복, 업무, 계획, 현황, 시사점, 문제점, 나아갈 방향 총체적 조사분석 ( 8Pages )
Report ( 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ) 목 차 - 들어가며 - 1. 미디어렙법이란 2. 미디어렙법의 역사 및 내용 3. 기대효과 4. 미디어렙법 긍정론 5. 미디어렙법 부정론 6. 시사점 및 나의 견해 들어가며 사회에 길이 있어 인간이 왕래를 하고 인간과 사회가 건강해진다. 사회와 인간 간 네트워크(관계, 인..
리포트 > 법학 |
 다이오드에 대해서 ( 3Pages )
1.다이오드 1)원리 다이오드는 순방향 바이어스에 의해서 작동하며, 이 경우 작은 전압의 변화에도 전류는 큰 변화를 보인다. 역방향 바이어스인 경우는 소수 캐리어에 의해 매우 작은 누설전류(역방향 전류)만 흐르게 되는데 역방향 바이어스가 어느 이상이 되면 갑자기 큰 역방향 전류가 흐르게 되고 다이오드는 파손된다. 이런 현상을 항복(breakdown)이라 하는데 다이오드를 손상시키지 않으면서 의도적..
리포트 > 자연과학 |
 세계 여러나라들의 발효식품의 특징 및 종류, 활용도, 과정, 좋은점 조사분석 ( 6Pages )
Report ( 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ) 목 차 1.한국 2.프랑스 3.불가리아 4.독일 5.아프리카 6.인 도 7.네 팔 8.부탄 9.태 국 10.중국 11.일본 1.한국 -김치 호기성균(산소로 호흡을 하는 균)의 증식 ⇒ 김치내 산소의 급격한 감소⇒ 젖산발효 개시⇒ 혐기성 미생물(산소가 없는 조건에서 잘 살 수 있음) 증식 ⇒ ..
리포트 > 예체능 |
 LG전자 연구개발(HW) 직무 첨삭자소서 ( 13Pages )
예시 : 어릴 적 OO 한 장난감을 가지고 OO하게 노는 것을 좋아하였습니다. OO하게 분담을 해야 했지만, 시간을 낼 수 있는 사람이 OO명에 불과하였습니다. 특히 선배들 간의 OO한 것을 좁히기 위해서 OO한 것을 넣어서 진행하기로 하였습니다. 예시 : 매주 주말 반 요트 부 활동에 참여하여 OO한 사람들에게 OO한 것을 OO한 방법으로 세일링하였습니다. OO한 사람들과 부딪히기 시작하여 OO한 것을 해결하지..
서식 > 자기소개서 |
oo, 가지, 작성, 해주다, 실제, 본인, 사례, 어떻다, 사람, 이다, 대한, 좋다, 바라다, 위해, 때문, , 기차, 경험, 배우다, 보다
 전기,전자 직렬 첨삭자소서 ( 9Pages )
실제 지원 동기 부분은 지원 직무와 연관된 장점, 준비사항 등을 핵심적으로 요약, 정리, 나열하여 잘할 수 있다라는 논리로 기술하여야 하기 때문에 지금 이 부분처럼 정리하시는 것이 좋습니다. 일단 이 부분에서도 본인만의 구체적인 경험을 중심으로 기술하셔야 합니다. 다만 경험의 기술 부분에서도 상황이나 과정 등의 설명 중심이 아니라 본인의 노력과 행동부분이 가장 다양하고 충실하게 기술하셔..
서식 > 자기소개서 |
기술, 부분, 본인, 해주다, 때문, 좋다, 장점, 지원, 보다, 노력, 정리, 경험, , 행동, 다양하다, 직무, 어떻다, 실제, 프로젝트, 내용
 5·18 광주 민주화 운동,민주화운동, 민주화 항쟁, 발생배경, 계기, 전개과정, 역사, 성격, 의의, 나의소감, 느낀점 조사분석 ( 5Pages )
주제:5.18 민주항쟁과 시민의 힘 Report ( 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ) 목 차 [서론] 5.18 민주항쟁이란 [본론] 5.18 민주항쟁 의의와 결과 [결론] 민주화 시민으로서의 역할과 책임에 대한 나의 견해. [서론] 5.18 민주항쟁이란 -1961년 5·16 군사쿠데타를 통해 권력을 장악한 박정희 독재정권은 자신의 권력을 정..
리포트 > 사회과학 |
 LG디스플레이 공정장비 첨삭자소서 ( 12Pages )
LG디스플레이-공정장비 자기소개서 항목 LG디스플레이 및 지원 직무에 지원하는 동기에 대해 기술해 주십시오. 디스플레이 및 지원 직무 관련된 이력을 간결하게 나열해주시기 바랍니다. 저는 LG디스플레이가 목표하는 고효율, 고화질, 그리고 대면적의 OLED 패널 생산에 기여할 수 있다고 판단하여 공정장비 직무에 지원하였고, 공동의 목표 실현을 위해 다음과 같은 역량을 쌓아왔습니다. 또한, LG디스플..
서식 > 자기소개서 |
디스플레이, 지원, lg, 기업, 장비, 직무, 위해, 사례, 공정, 되어다, 통해, oled, 목표, 동기, 작성, 설계, 내용, , , 패널
 디지털논리회로 실습 보고서 - 가산기와 감산기 ( 7Pages )
논리회로 실습 보고서 - 가산기와 감산기 7486 IC와 7408 IC 핀 배치도를 참조하여 아래 회로를 구성한다. 7486과 7408의 7번 핀은 접지하며, 14번 핀은 +5V의 전압을 인가한다. 입력의 상태를 표와 같이 변화시키면서 출력 상태를 기록한다. BASC00011010101101 ▌검토▐ 실험 결과를 토대로 이 회로가 반 가산기로 동작함을 확인하여라. 입 력 출 력 XYSC00011010101101 S = X Y + XY = X⊕Y, C = XY..
리포트 > 공학/기술 |
 06년 국내 모스집적회로의 시장동향(2000년부터 2006년4분기까지) [PDF] ( 16Pages )
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 모스집적회로에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 세세분류 : 모스집적회로에 대한 간략 개요, 산업동향(2000년부터 2006년4분기까지) 등이 기술되어 있습니다. 통계 중심으로 작성되어 있으며, <밸류애드 산업동향(2005년도) [견본]>이 무료로 제..
비지니스 > 경제동향 |
 [디지털 회로설계] Moore , Mealy Type Finite State Machine ( 9Pages )
디지털 회로설계 1. 제목 : Moore / Mealy Type Finite State Machine 2. 개요 : Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대하여 각각 VHDL code를 작성하여 시뮬레이션을 수행하여 미리 예상한 결과와 비교하여 본다. 이를 통해 Moore type과 meanly type의 이해도를 높이고 그 동작을 비교하여 파악한다. D F/F의 응..
리포트 > 공학/기술 |
 신경회로망연구원 자기소개서 자소서 ( 12Pages )
신경회로망연구원 자기소개서 자소서 입니다. 신경회로망연구원 자기소개서 목차 I. 신경회로망연구원 자기소개서1 1. 성장과정 2. 성격의 장단점 3. 생활신조 4. 지원동기 및 포부 II. 신경회로망연구원 자기소개서2 1. 자기소개(생활신조, 취미/특기, 성장과정, 가정환경 등) 2. 성격의 장단점 3. 성공 및 실패경험 4. 지원동기 및 입사 후 포부 III. 신경회로망연구원 자기소개서3 1. 자기소개..
서식 > 자기소개서 |
신경회로망연구원, 자기소개서, 자소서
 공학기초물리실험 - RLC 직류회로 ( 6Pages )
공학기초물리실험 - RLC 직류회로 1. 실험목적 축전기(Capacitor) 및 인덕터(Inductor)에 직류 전압이 가해질 때 충, 방전되는 전압 및 인덕턴스 작용을 그래프로 관찰하고, 전기용량 C 와 인덕턴스 L 값이 실험값과 일치하는가를 확인한다. 2. 원 리   (1) RC 회로 (콘덴서의 충방전) 직류전압 V0가 전하가 축적되어 있지 않은 축전기 콘덴서에 인가되면, 키르히호프(Kirchhoff)의 폐회로 법칙에 의해 V0..
리포트 > 자연과학 |
 전자회로실험 - 다이오드 정류회로 ( 20Pages )
전 자 회 로 실 험 다이오드 정류회로 이 실험의 목적은 세 가지 다른 형태의 다이오드 정류회로, 즉 반파정류기, 중간탭 변압기를 사용한 전파정류기, 브리지형 전파정류기의 특성을 관찰하는 것이다. 목적 및 배경 회로의 전력 공급을 위해 교류 전원으로부터 직류 전원을 얻는 방법이 널리 사용되고 있으며 이를 정류기라 한다. 이 론 p-n 접합의 명백한 성질은 그의 단일방향성(unilateral)이다. ..
리포트 > 자연과학 |
 기초전자공학 실험 - RLC회로 ( 2Pages )
1.실험제목 - RLC회로 2.실험목표 1) 오실로스코프와 함수발생기의 사용법을 실험을 통해 익힌다. 2) 교류회로에서 저항, 콘덴서, 코일에서의 저항성분인 임피던스(impedence)를 측정하여 각 개념을 익힌다 3) 교류의 구분 특성 중 하나인 위상을 측정하여, 임피던스에 따른 위상의 변화를 이해할 수 있다 3.실험재료 - 디지털 멀티미터, 전원공급기, 오실로스코프, 함수발생기, 100Ω, 200Ω저항 4.7 ㎌..
리포트 > 공학/기술 |
 [디지털논리회로] 4비트 덧셈뺄셈기 회로 구현 ( 4Pages )
기초부터 응용까지 Verilog HDL - 다음은 4비트 덧셈 뺄셈기의 논리 회로 이다. 1. 위의 논리 회로를 Gate level modeling 방법을 사용하여 Verilog 코드를 코딩하시오. Gate level modeling module Add_Subtraction input m; input [3:0] a,b; output [3:0] s; output c,v; wire [4:1] cn ; wire [3:0] n ; xor U1(n[0],m,b[0]); xor U2(n[1],m,b[1]); xor U3(n[2],m,b[2]); xor U4(n[3],m,b[3]); FA U..
리포트 > 공학/기술 |
31 32 33 34 35 36 37 38 39 40