전체 (검색결과 약 23,607개 중 41페이지)

 전자회로실험 - BJT의 특성 및 bias 결과 보고서 ( 6Pages )
전자회로실험 - BJT의 특성 및 bias 1. 실험 제목 BJT의 특성 및 bias 2. 실험 목적 2-1.트랜지스터 바이어스, 동작점, 증폭 작용, 스위치 작용 사이의 상관 관계를 인식함으로써 트랜지스터 회로 설계 능력을 기른다. 특히 동작점의 위치와 증폭기로서의 특성 사이의 관계에 대한 이해를 통하여 증폭기 설계기초를 마련한다. 2-2.증폭기로 동작하도록 동작점을 설정하는 기법을 익힌다. 아울러 동..
리포트 > 공학/기술 |
 [레포트] 디지털 시스템 설계 레포트 ( 10Pages )
디지털 시스템 설계 레포트 FSM 모듈의 설계 및 검증 사양 모듈 fsm은 회로의 동작을 제어하는 유한상태머신이다. 상태 E가 리셋 상태로서 단자 done='1'을 출력하면서 대기하고 있다가 단자 start='1'이 되면 상태 l로 이동하면서 곱셈을 시작하게 된다. 상태 l는 단자 linit='1'을 출력하여 준비된 승수와 피승수를 입력하도록 한다. 다음 상태 c로 무조건 옮겨간다. 상태 C에서 단자 lsb='0'이고 stop..
리포트 > 공학/기술 |
 [디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) ( 13Pages )
Digital Logic Design Project - Smart Traffic Light Controller - ∙목차 - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan Result - Simulation results in waveform - Conclusions Comments 1. Specification of STLC - Local road에 차가 없거나 건널목을 건너려는 보행자가 없는 경우, highway의 신호가 green..
리포트 > 공학/기술 |
 전자기 유도를 이용한 멈추지 않는 팽이 ( 14Pages )
전력기기실험 전자기 유도를 이용한 멈추지 않는 팽이 Contents 설계목표 13 Block Diagram and 관련이론 245 팽이의 회전 원리 회로도 and 회로 전체 동작 원리 작품 사진 6 Trouble Shooting 7 설계일정 and 역할 분담 1. 설계목표 전자기 유도의 원리를 이용하여 전자석을 만든 후 이를 이용하여 멈추지 않고 계속 돌아가는 팽이를 구현하며 동시에 모터를 동작 시킨다. 목표 2.Block Diagram 발전기 팽..
리포트 > 공학/기술 |
 반가산기및전가산기 ( 3Pages )
실험 5. 반가산기 및 전가산기 1. 목적 (1) 반가산기와 전가산기의 설계를 통해 조합논리회로설계방법을 공부한다. (2) 설계회로의 기능측정 2. 이론 다음과 같은 2진수 2개를 더하는 경우에 대해 고찰해 보자. 111 1011+111010 ……… 자리올림수 (Carry) ……… 피가수 (Augend) ……… 가수 (Addend) ……… 합 (Sum) 최하위 비트를 더할 때 자리올림수가 없기 때문에 2개의 진수를 더해서 결과로 합과 ..
리포트 > 공학/기술 |
공학, 기술
 전기전자 - 반도체 소자의 제작공정 MEMS ( 6Pages )
전기전자 - 반도체 소자의 제작공정 MEMS 목 차 #반도체 소자의 제작공정 #MEMS (micro electro mechanical systems) 반도체 소자의 제작공정 1단계 단결정 성장 고순도로 정제된 실리콘 용융액에 SEED 결정을 접촉, 회전시키면서 단결정규소봉(INGOT)을 성장시킴 2단계 규소봉절단 성장된 규소봉을 균일한 두께의 얇은 웨이퍼로 잘라낸다. 웨이퍼의 크그는 규소봉의 구경에 따라 3 ,4 ,6 ,8 로 만들어..
리포트 > 공학/기술 |
 Voltage-to-Current 변환회로를 수행 ( 3Pages )
실험 1. 회로 8-1의 Voltage-to-Current 변환회로를 수행하라. 을 1V에서 10V까지 parametric 해석을 하였다. 이 회로는 비반전 전압-전류 변환기(전류귀환 증폭회로)로 이상적인 op amp에서 입력 임피던슨 무한대이므로 들어가는 전류는 0이 되고 출력전류 이 되므로, 전압에 출력전류가 비례하게 된다. 따라서 위와 같은 결과가 나오게 되고, 이 에 비레하므로 전압-전류 변환기라 한다. 실험 3. 1차..
리포트 > 공학/기술 |
공학, 기술
 컴퓨터에대한제어함수 ( 7Pages )
<1>프로젝트 설명 :5장에 있는 [표 5-6] <컴퓨터에 대한 제어 함수와 마이크로 연산>에 나타난 연산들을 표시할 수 있는 최소한의 연산들을 골라서 [표 7-1] <마이크로 명 령어의 각 필드에 대한 기호와 이진 코드>에 나타나 있는 것에 삭제,보충하 여서 그것들을 [표 7-2] <기호로 표시된 마이크로 프로그램> 처럼 모두 표 시한 다음,[표 7-3] <제어 메모리를 위한 이진 마이크로 프로그램>에 나타나 있..
리포트 > 공학/기술 |
공학, 기술
 디지털 디자인 - 4비트 parity generator, 5비트 parity checker ( 7Pages )
디지털 디자인 - 4비트 parity generator, 5비트 parity checker 1.even parity 4bit generator 입력 4비트 뒤에 parity bit를 붙여 1의 개수를 even으로 만든다. (1) 진리표 (2)Boolean funtion P=w⊕x⊕y⊕z (3)karno map (4)schematic diagram (5)verilog HDL code (6)compile log analysis 회로에 대해 간략한 합성결과를 알수있다. Compilation Report를 통해 설계회로에 대한 정보를 볼 수 ..
리포트 > 공학/기술 |
 [전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 ( 10Pages )
VHDL에 대하여 (VHSIC HardwareDescription Language) - 목차 1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL 예약어 / 키워드 10. VHDL 주석 11. VDHL 식별어 12. VDHL 기본구성과 표현 13. 마치며.. 1. VHDL의 뜻 VHDL(VHSIC Hardware Descri..
리포트 > 공학/기술 |
 [디지털 시스템설계] 용어조사 ( 10Pages )
Verilog-HDL ●베릴로그(Verilog) - HDL 정의 전자공학에서 하드웨어 기술 언어(- 記述 言語, hardware description language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. 흔히 HDL이라고 줄여쓰기도 한다. 회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. HDL의 문법과 의미(semantics)는 소..
리포트 > 교육학 |
 전자 - LNA 설계 보고서 ( 16Pages )
졸업캡스톤 최종보고서 LNA 설계 목 차 1. 개요 2. 개발내용 가. 애플리케이션 나. TR선정 다. 바이어스 회로 라. 목표 설계 사양 3. 개발 일정 및 역할 분담 4. 결과 가. 설계 스펙 나. 최종 회로도 다. 결과그래프 5. 고찰 1. 개요 Analog Cellular 시스템은 흔히 제1세대 시스템이라 명한다. GSM, PDC, cdmaOne(IS-95), US-TDMA(IS-136) 등은 제2세대 시스템이다. 이 시스템들은 음성통신을 무..
리포트 > 공학/기술 |
 전자회로실험 - 부귀환과 기본적인 연산 증폭기 회로 ( 5Pages )
전자회로실험 - 부귀환과 기본적인 연산 증폭기 회로 [예비] 1. 실험 목적 - 폐루프 전압 이득 측정하고, 이득-대역폭 곱을 계산, 이득과 대역폭간의 절충점을 알아본다. - 전압-전류 변환기와 전류-전압 변환기에 대해 분석하고, 전류 증폭기를 시험한다. 2. 기초 이론 - 증폭기의 전압 이득이 증가되었다고 가정했을 때 출력 전압도 상승한다. 이러한 출력 전압의 상승분은 더 큰 부전압이 입력으로 귀환..
리포트 > 자연과학 |
 [Verilog프로그래밍]D래치, 플리플롭, shift register ( 6Pages )
1. 목적 Verilog의 연속할당문을 사용한 래치 설계 방법과 always 문을 사용한 동작적 모델링 방 법의 래치, 플립플롭 설계 방법을 익히고 이를 간단한 회로설계에 적용한다. 2. 기초지식 - feedback이 있는 dataflow 모델링 방법 동작적 모델링에서 회로의 동작은 부울함수와 수식으로 기술 할 수도 있고 알고리즘과 같은 추상적인 표현을 사용하여 나타낼 수도 있다. 그 중에서 부울함수와 같은 수식으..
리포트 > 공학/기술 |
 [전자회로] Pspice 사용법 및 시뮬레이션 실습 ( 7Pages )
1. 제목 : Pspice 사용법 및 시뮬레이션 실습 2. 목적 전자회로 실험을 위해 필수적인 ORCAD의 Pspice 도구를 이용하는 법을 익혀 간단한 회로설계하고 다음의 시뮬레이션을 시행하고 분석한다. DC sweep, DC Parametric Sweep, Frequency Response, Transient. 3. 이론 (1) opamp 이상적인 opamp의 입력 저항은 ∞, 출력 저항은 0, open loop gain은 ∞이며, V+와 V-의 값이 동일하고, 입력의 전류 ..
리포트 > 공학/기술 |
41 42 43 44 45 46 47 48 49 50