전체 (검색결과 약 1,638개 중 42페이지)

 [이슈및위기관리론]JetBlue_최종본 ( 33Pages )
JetBlue’s Crisis on Valentine s day JetBlue Case Analysis Contents Case Analysis 01. Company Description JetBlue Airways Company Description Part 1. One of American low-cost airlines in Queens, NY Hub airport : John F. Kennedy International Airport (JFK) / more than 60% of jetBlue planes use a day Unlike other low-cost airlines, Differentiated services such as wide and comfo..
리포트 > 경영/경제 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 사례기반추론,사례기반추론장단점,사례기반추론분석,CBR,CBR장단점,CBR분석 ( 22Pages )
Case-based Reasoning 사례기반추론 목 차 사례기반추론의 개념 사례기반추론의 원리 및 분석 방법 사례기반추론의 장점과 단점 사례기반추론의 응용분야 Q A 사례기반추론의 개념 Case DB 1. CBR의 개념 새로운 문제 해결을 위해 과거에 경험했던 문제의 해결방법을 변형시켜 이용하는 방법 (Riesbeck Schank, 1989) 문제를 해결하고 이를 학습하여 또 다른 새로운 문제에 적용하기 위한 ..
리포트 > 경영/경제 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 제품의 개념,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 16Pages )
Understanding Marketing 제품의 개념 및 관리 목 차 Product Line Management 제품계열관리란 제품계열 내의 하부제품계열의 다양성을 나타내는 Breadth와 특정 제품 내의 품목의 수를 나타내는 Length를 통하여 각 제품계열에 대한 의사결정을 하는 행위 또는 일련의 과정을 뜻한다. 넓이가 넓다 = Case 1. 현대자동차 다양 단순 넓다 VS 좁다 하부제품계열 구성이 다양하다 넓이가 좁다 = 하부제품계열 ..
리포트 > 경영/경제 |
 DELL,DELL기업분석,DELL재무분석,재무분석사례 ( 21Pages )
DELL, The UNIQUENESS √Outsourcing + JIT √ Customized order √ Eliminate channel of Distribution ∴Cost Saving Dell is Computer manufacturing company which is renowned for its direct business model and sales on Internet 1 / 21 Introduction 1 Designs, develops, manufactures, markets, sells, and supports a wide range of computer systems and services √ Global IT services √ client ..
리포트 > 경영/경제 |
 자동차슈퍼마켓,자동차시장,브랜드마케팅,서비스마케팅,글로벌경영,사례분석,swot,stp,4p ( 30Pages )
Wolf Mortors INDEX 03 문제점 분석 02 환경 분석 01 Case 분석 04 결론 01 Case 분석 자동차 판매 대리점 15년 전 도산한 Dodge지역의 판매 대리점을 인수하여 사업을 시작 후 지속적으로 성장 최근 인구 40만의 도시를 목표로 새로운 대리점 설립 → 최초의 자동차 슈퍼마켓 형태의 대리점으로 전 차종을 판매 성공요인 : 용량, 마케팅, A/S 가장 중요한 판매 후의 고품질 서비스의 필수적 요..
리포트 > 경영/경제 |
 드라마,외주제작, ( 38Pages )
드 라 마 외 주 제 작 왜 2. 드라마 외주제작 3. 시장환경 분석 4. 시장구조 분석 5. 시장행위 분석 6. 시장성과 분석 7. 쟁점별 분석 8. 향후 전망 왜 드라마 르네상스 3분의 2가외주제작 드라마 외주제작 방송사의 프로그램을 방송사가 직접 제작하지 않고 외부 프로그램 제작사에 의뢰하여 제작하는 일 + 드라마 외주제작 1980년대 부터 존재 (최초 : 시네텔서울 1984년) 매체 다양 +..
리포트 > 사회과학 |
 쿠쿠마케팅,쿠쿠기업분석,쿠쿠경영,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 22Pages )
Marketing management [ CASE STUDY ] 쿠쿠하세요~ 쿠쿠! 새로움의 시작은 쿠쿠로부터 [ CASE STUDY ] contents about cuckoo cuckoo의 성공요인 - 거시 환경 분석 - 미시 환경 분석 (3C) SWOT STP 4P MiX - 앞으로의 과제 [ CASE STUDY ] [ ABOUT CUCKOO ] 1978년 성광전자주식회사 설립 LG전자 O.E.M 업체 지정1982년 전기밥솥 수출1991년 PHILIPS O.E.M 업체 지정1992년 기술선진화 중소..
리포트 > 경영/경제 |
 소프트웨어 공학 - 소프트웨어 공학 동향 ( 47Pages )
1 소프트웨어 공학 동향 - Software Engineering - 학습 내용 소프트웨어 공학의 역사 CASE 사용자 인터페이스 관리 시스템 컴포넌트 기반 소프트웨어 개발 웹 엔지니어링 소프트웨어 재사용 소프트웨어 리엔지니어링 실시간 소프트웨어 설계 소프트웨어 공학 방법론 소프트웨어 개발 과정에서 사용되는 여러 가지 방법에 대한 연구 모형화 방법, 설계 방법, 코딩 , 테스트 방법 프로세스 중심 방법론 자료..
리포트 > 공학/기술 |
 남여차이-생물학적 요인,사회문화적요인,성에대한인식의차이 ( 20Pages )
01생물학적 요인. CASE_1 : 이성의 분류 남자는 단순하고, 여자는 복잡하다 X염색체의 비밀 여자는 XX 염색체를 가지고 태어나며 남자는 XY 염색체를 가지고 태어난다. 이 때 X 염색체와 Y 염색체는 서로 다른 유전적 정보를 가지고 있는데 남자의 경우 남성성을 Y 염색체와 다양한 유전적 정보를 지니게 된다. 그러나 여성의 경우 동일한 유전적 정보를 담은 X 염색체가 두 개나 존재한다. X 염색체는 보..
리포트 > 사회과학 |
 드라마외주제작,드라마시장, ( 38Pages )
드 라 마 외 주 제 작 왜 2. 드라마 외주제작 3. 시장환경 분석 4. 시장구조 분석 5. 시장행위 분석 6. 시장성과 분석 7. 쟁점별 분석 8. 향후 전망 왜 드라마 르네상스 3분의 2가외주제작 드라마 외주제작 방송사의 프로그램을 방송사가 직접 제작하지 않고 외부 프로그램 제작사에 의뢰하여 제작하는 일 + 드라마 외주제작 1980년대 부터 존재 (최초 : 시네텔서울 1984년) 매체 다양 +..
리포트 > 사회과학 |
 국민기초생활보장법(국기법)의 쟁점 토론 및 판례(3case) ( 4Pages )
국기법, 국민기초생활보장법, 판례, 판결 사례, 쟁점 1) 수급자 선정에서의 문제점 (1) 재산기준 < 판례 1 > < 판례 2 > (2) 주거면적 기준 < 판례 3 > (3) 부양의무자 기준 (4) 소득기준 2) 수급자 선정 문제의 대책 Ⅲ. 결 론 <참고문헌 및 사이트> 1) 수급자 선정에서의 문제점 수급자로 선정되기가 예전보다 더 어려워져 오히려 수급자에서 탈락한 사람들이 많아 졌다. 또한, 수급자로 선정되어야 할 ..
리포트 > 법학 |
국기법, 국민기초생활보장법, 판례, 판결 사례, 쟁점
 Kluckhohn-Strodtbeck ( 1Pages )
2장 case풀이 1번 도움위해... OB. 2장에서 언급된 두 가지 Framework 1.The Kluckhohn-Strodtbeck Framework ....
리포트 > 인문/어학 |
인문, 어학
 항공사,저가항공사,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 33Pages )
JetBlue’s Crisis on Valentine s day JetBlue Case Analysis Contents Case Analysis 01. Company Description JetBlue Airways Company Description Part 1. One of American low-cost airlines in Queens, NY Hub airport : John F. Kennedy International Airport (JFK) / more than 60% of jetBlue planes use a day Unlike other low-cost airlines, Differentiated services such as wide and comfo..
리포트 > 경영/경제 |
41 42 43 44 45 46 47 48 49 50