전체 (검색결과 약 23,607개 중 48페이지)

 기초 회로 실험보고서 - 정편파의 측정 ( 7Pages )
정편파의 측정 차례 1. 요약 2. 서론 3. 본론 - 정현파[SINE WAVE] - 정현파의 주기 - 정현파의 주파수 - 주파수와 주기의 관계 - 교류회로의 해석 - 접지와 연결되지 않은 부품의 전압 측정 - 전압 분배법칙 4. 결과분석 및 결론 5. 참고문헌 요약 오실로스코프를 사용하여 전압의 일반적인 형태인 정현파의 주기와 주파수를 측정하는 방법을 배우며 이를 통해 주파수와 주기가 반비례 관계임을 확인할..
리포트 > 공학/기술 |
 [기초회로실험] floyd-옴의 법칙 실험보고서 ( 3Pages )
옴의 법칙 실험보고서 ※ 차례 : 실험목적 이론 실험방법 실험결과 고찰 및 느낀점 ※ 실험목적 1. 저항의 전압과 전류를 측정하고 둘 사이의 관계를 그래프로 그린다. 2. 저항의 전류-전압 그래프로부터 저항의 값을 구한다. ※ 이론 회로 안의 전하의 흐름을 전류(current)라고 한다. 전류의 측정단위는 암페어(ampere)이다. 전류는 약자로 I(i는 세기(intensity)를 나타냄)로 쓰며 화살표로 흐르..
리포트 > 공학/기술 |
 기초전기회로실험 - 회로의 직류전압 측정, 전원공급기의 출력전압 범위를 측정 ( 3Pages )
실험목적 1. 회로의 직류전압을 측정한다. 2. 직류 전원공급기의 사용법을 익힌다. 3. 전원공급기의 출력전압 범위를 측정한다. 이론적 배경 VOM이란 직류 및 교류전압, 직류 및 교류전류, 그리고 저항 등을 측정할 수 있다. DMM은 VOM과 거의동일하나 측정범위가 더 넓으며 트랜지스터와 다이오드의 시험에도 이용되고 주파수, 정전용량, 온도 등의 측정이 가능하다. 전자식 VOM과 DMM들은 작은 전력소..
리포트 > 자연과학 |
 기초전기회로실험 - 멀티미터 사용법 ( 12Pages )
기초전기회로실험 - 멀티미터 사용법 1.목적 멀티미터(Multimeter)의 구동원리를 살펴보고, 멀티미터를 사용하여 직류전압, 교류전압, 직류전류 및 저항을 측정하는 방법과 저항의 색채 기호(Color Code)를 읽는 방법을 이해하고 익힌다. 2.이론 1)멀티미터란 멀티미터(Multimeter)는 저항, 전류, 전압 등 기본적인 전기량을 측정할 수 있는 간편한 계측기로써, 일명 테스터(Tester)라고도 하며 전압계..
리포트 > 공학/기술 |
 기초실험- 미분기 적분기 ( 5Pages )
1. 실험 및 실험결과 1) 도면을 참조하여 회로를 구성하라. 2) 적분기 실험을 통해 다음 표를 작성하라. 입력파형 (Vpp = 5) 출 력 파 형 Vo 정현파 1KHz 5.60v 구형파 1KHz 8.00v 구형파 100Hz 19.6v 3) 미분기 실험을 통해 다음 표를 작성하라. 입력파형 (Vpp = 2) 출 력 파 형 Vo 정현파 1KHz 2.96v 삼각파 1KHz 1.68v 삼각파 20KHz 11.0v 2. 고찰 ....
리포트 > 자연과학 |
 전기전자공학개론 - 연산, 비반전, 반전 증폭기 ( 13Pages )
전기전자공학계론 목차 연산 증폭기 연산증폭기는 단일 실리콘 웨이퍼에 많은 개별적인 전자회로를 집적시켜 놓은 집적회로이다. 연산 증폭기는, 이상적인 증폭기와 이상적인 회로소자의 특성에 기초한 가산, 필터링, 적분 등 많은 작업을 수행할 수 있다. 기본 연산회로 두가지 1.비반전 연산증폭기 2.반전 연산증폭기 (1) 연산 증폭기의 구성 ....
리포트 > 공학/기술 |
 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ( 15Pages )
Report ( 기초전자 BJT증폭기, 전력증폭기, 연산증폭기, 귀한연산증폭기, 기본연산 증폭기회로 개념 및 특징 조사분석 ) 목 차 1. BJT증폭기. 2. 전력 증폭기 3. 연산 증폭기의 특성 4. 귀환 연산 증폭기 5. 기본 연산 증폭기 회로 1. BJT증폭기. 1)공통 이미터 증폭기(Common Emitter Amplifier) AMP = 10m FREQ = 1.0k 위에 그림은 Common Emitter Amplifier라고 부르는며, 높은 전압 전류 이득..
리포트 > 공학/기술 |
 마이크로 프로세서를 이용한 자율이동 로봇 제작 ( 7Pages )
마이크로 프로세서를 이용한 자율이동 로봇 제작 이번주의 목표 회로 기초지식 및 기기사용법 설명 제 2주차 수업 내용 기기 사용법 설명 회로도 보는 방법 설명 납땜 할 때의 주의점 참고 자료 Text자료의 1.1, 1.2, 1.3, 3.1, 3.2, 3.3, 3.4장 납땜 하는 방법 ....
정보/기술 > 강의/교재 |
 기초회로실험 - 상호 인덕턴스와 변압기 ( 13Pages )
상호 인덕턴스와 변압기 1. 실험 목적 - 이상적인 변압기의 특성과 최대전력전달의 법칙을 이해하고, 실험적으로 확인한다. 2. 실험 준비물 ▶ 오실로스코프 1대 ▶ 함수 발생기(Function Generator)(정현파 발생) 1대 ▶ 멀티미터 1대 ▶ 저항 1㏀, 3㏀, 3.3㏀, 6.8㏀ 각각 1개 ▶ 가변저항 10㏀ 1개 ▶ 트래스포머 1개 3. 기초이론 (1) 전자유도 [1] 자속의 변화에 의한 유도 기전력 (가) 전자유도..
리포트 > 자연과학 |
 [기초정보공학실험] KVL, KCL 및 Ohm의 법칙 ( 18Pages )
[기초정보공학실험] KVL, KCL 및 Ohm의 법칙 1.목적 회로를 해석하는 데 있어서 필요한 KVL, KCL, 옴(Ohm)의 법칙, 분압 및 분류의 법칙 등을 이해하고 확인한다. 2.이론 임의의 회로에 있어서, 각 회로소자에 걸리는 전압과 흐르는 전류를 그 회로의 해라 하고, 이들을 구하는 것을 회로해석 또는 회로망해석이라고 한다. 회로해석에 있어서 사용되는 관계식은 KVL, KCL, 가지방정식이다. 이들 중 KVL..
리포트 > 자연과학 |
 논리회로 - LED, 7-세그먼트의 활용법 ( 4Pages )
1. 실험 목적 다이오드에 대한 기초지식 확립과 7-세그먼트의 활용법을 아는데 목적이 있다. 2. 다이오드 반도체 소자로서, 기본적인 기능으로는 한쪽으로만 전류를 흐르게 한다. 이러한 특징을 이용하여 역전류가 흐르지 않게 하거나, 교류를 직류로 변환하는데 많이 쓰이고 있다. 이번 실험에서는 LED를 쓰는데 순방향 전류가 흐를 때만 불이 켜지는 다이오드를 쓴다. 다이오드에는 극성이 있는데 +(..
리포트 > 공학/기술 |
 전자회로실험 - 부귀환과 기본적인 연산 증폭기 회로 ( 5Pages )
전자회로실험 - 부귀환과 기본적인 연산 증폭기 회로 [예비] 1. 실험 목적 - 폐루프 전압 이득 측정하고, 이득-대역폭 곱을 계산, 이득과 대역폭간의 절충점을 알아본다. - 전압-전류 변환기와 전류-전압 변환기에 대해 분석하고, 전류 증폭기를 시험한다. 2. 기초 이론 - 증폭기의 전압 이득이 증가되었다고 가정했을 때 출력 전압도 상승한다. 이러한 출력 전압의 상승분은 더 큰 부전압이 입력으로 귀환..
리포트 > 자연과학 |
 [Verilog프로그래밍]D래치, 플리플롭, shift register ( 6Pages )
1. 목적 Verilog의 연속할당문을 사용한 래치 설계 방법과 always 문을 사용한 동작적 모델링 방 법의 래치, 플립플롭 설계 방법을 익히고 이를 간단한 회로설계에 적용한다. 2. 기초지식 - feedback이 있는 dataflow 모델링 방법 동작적 모델링에서 회로의 동작은 부울함수와 수식으로 기술 할 수도 있고 알고리즘과 같은 추상적인 표현을 사용하여 나타낼 수도 있다. 그 중에서 부울함수와 같은 수식으..
리포트 > 공학/기술 |
 일반물리학 실험 - 옴의 법칙 (전류_전압 측정) ( 4Pages )
1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하고 저항 색코드를 익힌다. 3. 관련이론 옴의 법칙 1826년 G.S.옴이 발견한 물리학의 기본법칙의 하나이다. 전위차를 V, 전류의 세기를 I, 전기저항을 R라 하면, V=IR의 관계가 성립한다. ..
리포트 > 자연과학 |
 일반물리학 실험 - 옴의 법칙 (전류_전압 측정) ( 4Pages )
일반물리학 실험 - 옴의 법칙 (전류․전압 측정) 1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하고 저항 색코드를 익힌다. 3. 관련이론 옴의 법칙 1826년 G.S.옴이 발견한 물리학의 기본법칙의 하나이다. 전위차를 V, 전류의 세기를 I, ..
리포트 > 공학/기술 |
41 42 43 44 45 46 47 48 49 50