전체 (검색결과 약 3,099개 중 49페이지)

 응용 소프트웨어는 사용자의 특정 목적 ( 3Pages )
1. 응용 소프트웨어는 사용자의 특정 목적을 달성하기 위해 개인 또는 회사가 개발한 프로그램을 말하며 이에는 워드 프로세서, 데이터베이스, 스프레드 시트 등이있다. 시스템 소프트웨어는 컴퓨터 시스템 자체와 관련된 업무를 수행하도록 작성된 프로그램으로서 가령 컴퓨터의 시동, 화면에 정보 전시, 보조 기억장치에 정보를 저장하거나 그곳으로부터 불러내는 기능을 수행하는 프로그램들이다. 2. 1)..
리포트 > 공학/기술 |
공학, 기술
 간편한 스레드 구현 ! 이지스레드 콤포넌트(OCX)activex ( 30Pages )
<< Easy Thread ActiveX >> - 이지스레드 콤포넌트 란... 사용하기 간편한 멀티 스레드 생성 콤포넌트입니다. 기존에 윈도우에서 스레드를 생성하여 사용하기란 매우 까다로운 작업이었으며 스레드간에 동기화 문제로 멀티스레드를 제어하기란 쉬운 일이 아니었습니다. 하지만 본 콤포넌트를 사용하면 개발자가 직접 스레드함수를 호출할 필요없이 내부적으로 스레드를 생성 ..
정보/기술 > 프로그램소스 |
 정관(건설업) ( 5Pages )
정관 (건설업) === 제1장 총칙 제1조 【상호】 당회사는 주식회사라고 부른다. 제2조 【목적】 당 회사는 다음 사업을 경영함을 목적으로 한다. 1. 위생 및 냉난방 설비공사업 7. 가스 공사업 2. 냉난방 설계 및 감리 8. 설비 기자재 판매업 3. 기계기구 설비 및 감리 9. 특정열 사용 기자재 시공업 4. 플랜트 설비 공사업 10. 종합유선 방송사업 5. 소방설비공사 및 감리 11. 자동제어 공사 6. 주택 건설..
서식 > 회사서식 |
 너의 내면을 검색하라 독후감 감상문 서평 차드 멍 탄 ( 9Pages )
너의내면을검색하라를 읽고 주요내용과 함께 개인적인 생각과 느낌을 정리했습니다. 참신한 내용을 담고 있으므로, 참고하시여 작성하시면 좋은 결과가 있을 것으로 믿습니다.^^ 저자 차드 멍 탄은 구글의 엔지니어 출신답게 이 책을 명상에 관한 디지털 버전의 책으로 만들어 놓은 것 같다. 이는 기존의 명상서적이 어쿠스틱 악기로 듣는 음악 같다면, 이 책은 디지털기기로 연주한 음악 같은 느낌이 들었..
리포트 > 독후감/서평 |
너의내면을검색하라, 너의내면을검색하라독후감, 너의내면을검색하라서평
 반전 증폭기 실험 ( 3Pages )
1. 제목 반전 증폭기 실험 2. 목적 - 반전 증폭기와 브레드보드, 프로토보드, NI ELVIS 프로그램의 사용법을 익힌다. - 반전 증폭기의 기능을 이용하여 동일 조건 하에서 저항값의 차이에 따른 전압의 변화를 측정한다. . 3. 기본이론 - 연산 증폭기 고증폭도를 가지고, 아날로그 신호의 가산, 감산, 적분 등의 연산이 가능한 증폭기. 연산 증폭기는 104~106배의 높은 전압이득을 갖는 자동입력, 단일 출력..
리포트 > 공학/기술 |
 국제 금융위기의 원인과 영향 ( 6Pages )
■ 과 목: ■ 학 교: ■ 학 과: ■ 학 번: ■ 이 름: ■ 교수님: ■ 제출일: 「국제 금융위기의 원인과 영향」 1. 미국 금융 위기의 원인 미국의 경제위기의 시작 - 물가 상승 압력 미국의 경제위기는 먼저 달러가 너무 많이 풀렸다는 것, 즉, 유동성의 과잉으로부터 시작된다. 현재 전세계는 달러가 거의 통용으로 이용되고 있다. 그만큼 달러를 많이 사용한다는 뜻이다. 따라서 앞서 말했듯 미국뿐만 아..
리포트 > 경영/경제 |
 오실로스코프와 신호처리이론 ( 7Pages )
1.실험 목적 Sinsignal과 Noisesignal을 합한 신호에서 이동평균법을 이용하여 노이즈를 제거해보고 신호에 대하여 알아본다. 그리고 오실로스코프에 대해 조사해보고 신호처리에 대한 이론을 조사해 본다. 2. 이론 (오실로스코프와 신호처리이론) 1) 오실로스코프(Oscilloscope) 대단히 빠르게 진행되는 현상이나 과도 현상의 관측 및 파형의 분석 등을 행하는 장치로서, 전기 및 전자 계측 분야에 ..
리포트 > 공학/기술 |
 [기계설계공학] 내연기관 - 하이브리드 자동차[Hybrid Car]에 관해 ( 14Pages )
하이브리드 자동차(Hybrid Car) 기계설계공학과 내 연 기 관 목 차 1. Hybrid자동차의 정의 내연 엔진과 전기자동차의 배터리 엔진을 동시에 장착하거나, 차체의 무게를 획기적으로 줄여 공기의 저항을 최소화 하는 등 기존의 일반 차량에 비해 연비 및 유해가스 배출량을 획기적으로 줄인 차세대 자동차를 말한다. 유해가스를 기존의 차량보다 90%이상 줄일 수 있고, 대도시의 공기와 주변 환경을 개선..
리포트 > 공학/기술 |
 추상데이타타입과객체 ( 18Pages )
추상 데이타 타입과 객체 지향 방법 순서 1.1 Java 입문 1.2 소프트웨어 생명 주기 1.3 추상 데이타 타입 1.4 객체지향 개념과 설계 1.5 Java 객체지향 프로그래밍 Java 입문 u Java의 특징 l 이식이 용이(portable), 분산 환경 지원(distributed), 실시간 (real time)이며 안정성(reliable) 있는 언어 l 객체지향 프로그래밍 언어 l 인터넷 환경에서 적합 u Java 의 8 가지 기본 타입(primitive type) l ..
리포트 > 사회과학 |
사회과학
 수변전설비의 계획과 설계 ( 76Pages )
본 자료는 전기공사 실무, 기술사, 전기기사, 전기공사기사 자격증 시험 및 대학교, 공업전문대학교 전기공학과의 전기설비 과목 강의에 이용되는 자료로서 수변전설비의 계획과 설계에 대해 상세하게 설명하였습니다. Ⅰ. 수변전시스템 1. 전기설비의 계획과 설계 2. 수변전실의 설계 3. 수변전시스템 Ⅱ. 수변전 용량계산 1. 수변전 용량계산 2. 건물용도별 부하설비용량 추정 Ⅲ. 수변전설비..
리포트 > 공학/기술 |
전기설비교재, 전기공사실무, 전기자격증시험, 수변전설비의계획과설계, 수변전설비기술기준, 수변전시스템, 수변전용량계산, 수변전설비보호대책
 샤르피 충격 실험 ( 6Pages )
1. 서론 우리가 접하는 기계들 또는 구조물의 재료들은 충격하중을 받는 경우가 대부분이다. 이런 충격 하중은 정적인 시험으로는 해석이 불가능하다. 인장시험에서 단면수축률이 크다고 해서 충격에서도 강하다고 볼 수는 없는것이다. 이러한 이유로 시험편을 충격적으로 파단할 때 충격으로 인한 흡수에너지의 크기를 구하여 재료의 인성과 취성의 정도를 판정하는 시험이 필요하게 된다. 충격 시험법..
리포트 > 자연과학 |
 과학사개론 - 과학과 시계에 관해 ( 6Pages )
과학사개론 - 과학과 시계 과학과 시계 저는 과학사개론 수업을 들으면서 아주오래전 선조들이 발견한 과학이 예전에도 존재하고 현재에도 꾸준히 이어진다는 것을 알게 되었습니다. 이런 흥미로운 사실을 바탕으로 저는 아주오래전부터 이어져온 과학에 대하여 조사를 해보기로 결심했습니다. 그러던 중 우리의 일상에서 아주 밀접하게 연관되어있는 시계가 사실은 아주오래전부터 이어져 왔다는 사실을..
리포트 > 공학/기술 |
 폐수처리공학 ( 8Pages )
서문 제1장 폐수 공학 : 개괄 1-1 폐수처리 1-2 슬러지 처분과 재이용 1-3 폐수 재활용과 재이용 1-4 유출수 처분 1-5 공학자의 역할 제1장 폐수공학 : 개괄 모든 공동사회는 액체와 고체 폐기물을 발생시킨다. 액체 부분은(폐수) 본질적으로 그 사회의 상수공급원이며 나중에 다양하게 이용됨으로써 더럽혀지게 된다. 발생원의 관점에서 보면, 폐수는 액체- 혹은 물로 이동되는 폐기물의 복합적인 것..
리포트 > 공학/기술 |
공학, 기술
 바이오 산업의 현황 ( 3Pages )
바이오산업의 정의 바이오산업(Bioindustry)은 생물체가 가지는 유전, 번식, 성장, 자기제어 및 물질대사 등 의 기능과 정보를 생명공학기술(Biotechnology)을 이용하여 인류에게 필요한 유용물질 과 서비스로 재가공생산하는 고부가가치 산업이다. -바이오테크놀로지는 전통적인 발효, 육종기술 뿐만 아니라 유전자 재조합기술, 세포융합, 최근 각광받고 있는 바이오칩에 이르기까지 생물체를 대상으로 ..
리포트 > 경영/경제 |
 기계공학 실험 - 인장시험 ( 29Pages )
인 장 시 험 목 차 인장시험을 통해 재료의 기계적/물리적 성질을 평가 할 수 있다. 얻어진 Stress-strain 그래프를 해석 할 수 있다. 시험방법을 익히고, 활용할수있는 능력을 길러서 재료의 성질을 이용해서 기초설계하는데 이용할 수 있도록 한다. 시험목적 인장시험에 관한 이론 실험과 시험 시편의 규격 데이터 처리 방법 Stress-Strain 그래프 분석 실험과 시험의 차이가 뭘까 1. 실험과 시험 (1) 시..
리포트 > 공학/기술 |
41 42 43 44 45 46 47 48 49 50