전체 (검색결과 약 6,698개 중 51페이지)

 [유체역학] 유량 측정 실험 - 삼각 Weir에 의한 유량 측정 실험 ( 7Pages )
유량 측정 실험 ◉ 삼각 Weir에 의한 유량 측정 실험 -목차- 1. 실험개요 2. 관계이론 1) Weir식 유량계 2) 삼각Weir 3.실험 장치 Sketch 사진 (1)실험장치 Sketch (2)사진 4. 실험준비 및 방법 5. 측정결과 6. 실험결과 분석 7. 평가 및 후감 8. 참고문헌 1. 실험 개요 유량을 측정하는 방법을 여러 가지가 있지만 보통 Weir를 이용하여 유량을 측정한다. 그 중에서도 실험실에서 비교적 적은 수량으..
리포트 > 공학/기술 |
 베르누이방정식실험 ( 2Pages )
1.실험 제목 : 베르누이방정식 실험 2. 실험목적 베르누이방정식 및 이와 관련하여 유체유동 중에 일어나는 에너지손실, 다시 말하면 역학적 에너지손실 등에 대한 개념을 정확히 이해하는 데 있다. 3. 이 론 베르누이방정식 : p: 유체의 압력, v: 유체의 속도, : 유체의 비중량, z: 임의의 수평 기준선으로부터의 높이, g: 중력가속도 실제 유체에서는 유체가 유동할 때 유체 점성에 의하여 역학적 에너..
리포트 > 공학/기술 |
 [분석화학실험]The Determination of Chloride by Titration with an Abosorption Indicator ( 4Pages )
1. 실험 제목 : The Determination of Chloride by Titration with an Abosorption Indicator 2. 실험 과정 (1) Dichlorofluorescein 준비 실험 전날 시약을 준비할 때 미리 만들어서 실험 당일 날 사용하였다. (2) Titration ① 미지시료 A,B를 110℃에서 1시간 건조시킨 후에 Room Temperature로 내려갈 때까 지 건조기 안에 놓아둔다. ( 실험 전 과정 ) ② 예비 보고서에서 조사한 바로는 미지 시료와..
리포트 > 자연과학 |
 유기화학 실험 - Acetylsalicylic Acid ( 6Pages )
유기화학 실험 - Acetylsalicylic Acid 1. 실험제목 : Acetylsalicylic Acid 2. 실험날짜 : 3. 실험목적 이번 실험은 페놀과 카르복시산 두 가지 기능을 가지고 있는 화합물인 살리실산으로부터 아세틸살리실산 (아스피린)을 만들어 순수하지 않은 생성물을 정제한다. 4. 실험이론 살리실산은 페놀과 카르복시산 모두의 기능을 가져 서로 다른 ‘에스터화 반응’을 하는데, ⅰ) 아세트산 무수물과 반응..
리포트 > 자연과학 |
 [기계공학] 유량계측 - 개수로[위어] 실험 ( 3Pages )
[기계공학] 유량계측 - 개수로[위어] 실험 1. 실험목적 개수로(open channel) 흐름에 유량 측정기구인 사각형 위어(rectangular weir)와 삼각형 위어 (triangular weir)의 유량 계측 원리를 이해하고, 위어의 유량계수를 실험으로 구한다. 유량을 측정하는 과정을 이해하고 실제유량과 계산 유량을 각각 구해 비교하고 이를 이용한 유량계수를 구해보는게 이 실험의 목적이라고 할 수 있다. 2.실험 하는 ..
리포트 > 공학/기술 |
 물리학 및 실험 - 시지프스의 고민 ( 5Pages )
물리학 및 실험 1. 실험 2. 시지프스의 고민 (1) 요약 이 실험은 위치 에너지와 운동 에너지의 합인 역학적 에너지에 대한 실험으로 역학적 에너지가 정말로 보존되는지 확인하는데 있다. 그리고 역학적 에너지 이 외에도 작용 하는 다양한 에너지들을 생각해 보는 기회도 갖는다. 이 실험은 먼저 경사진직선 궤도 위에 고무공과 쇠공을 올려놓는데서 출발한다. 빛살문 계시기를 이용해 공이 굴러가..
리포트 > 자연과학 |
 [기계재료실험] 인장실험 ( 6Pages )
1. 실험 목적 재료의 기계적 성질인 인장강도, 항복점, 연신율, 및 단면수축율이고, 취성재료에서는 인장강도와 연신율과 비례한도, 탄성한도, 탄성계수, 진파단력과 Possioon비등이 있다. 재료의 특징을 시험하는 실험에도 동적 실험과 정적 실험이 있다. 동적실험은 충격시험이며, 정적시험은 저속인장 실험, 압축시험이 있다. 이번 실험에서는 실험기(MTS)를 이용하여 재료의 기계적 특성 중 탄성적 성..
리포트 > 자연과학 |
 건축구조 실험 _ 힘에 의한 부재 실험 ( 5Pages )
[건축구조 실험 – 힘에 의한 부재 실험] 1. 실험제목 힘에 의해 부재는 어떻게 될까 2. 실험목적 이 실험은 유연한 시험체(부재)에 여러가지 힘을 가하면, 어떻게 변형하는지 관찰한다. 이를 통해 부재에 어떠한 힘이 가해지고 있는지 알아본다. 3. 실험이론 및 원리 반발력 어떤 힘이나 작용을 되받아서 퉁기는 힘 좌굴 기둥의 길이가 그 횡단면의 치수에 비해 클 때, 기둥의 양단에 압축 하중이 ..
리포트 > 공학/기술 |
 유체역학 실험 - 송풍기 성능 실험 ( 4Pages )
1.실험목적 송풍기라는 공기의 유동을 일으키는 기계장치로서, 공기에 기계적인 에너지를 가하여 기체를 이송하거나 압력을 생성하는 기구입니다. 이 송풍기가 오리피스로 인해 영향을 어떻게 받는지에 대하여 알아보고 효율이 가장 좋을 때를 알아볼 수 있습니다. 2.실험내용 송풍기를 규정회전수로 운전시키고 오리피스를 점점 개방하면서 그로인한 송풍기의 정압, 전압, 동압을 구하고 동력을 계산하여..
리포트 > 공학/기술 |
 전자회로 실험 - 저항측정 ( 4Pages )
1. 실험제목 가. 저항측정 2. 실험목적 가. 기본적인 전기회로를 구성하고 정확한 저항측정방법을 익힙니다. 나. 1) 저항의 단독의 경우 2) 회로 구성 후 저항 값 측정 ( 전류를 흘려 줬을 때 ) 임의의 1V 3) 회로 완성 시 저항 값 측정 ( 전류를 흘려주지 않았을 때 ) 을 측정하여 정확히 회로에서 어떤 저항을 써야할지 고찰 및 규명합니다. 3. 관련이론 가. 저항 ....
리포트 > 자연과학 |
 전자회로실험 - 접합 다이오드의 특성 ( 5Pages )
목 차 서론 1. 실험 목표 2. 실험 준비물 본론 3. 실험과정 및 결과 결론 4. Discussion 접합 다이오드의 특성 1. 실험목적 반도체의 기본 소자인 Junction Diode(접합 다이오드)의 전압·전류 특성을 실험적으로 측정하고 원리를 이해한다. 2. 실험준비물 다이오드 1N4001, 1N60 가변저항 10K VR 3. 실험과정 및 결과 1) 순방향 바이어스 ① 다음의 그림 1.7회로를 구성하고, 표1.1에서 요구하는 사항들..
리포트 > 자연과학 |
 실험보고서 - 경도 실험 보고서 ( 3Pages )
실험보고서 - 경도 실험 보고서 1. 실험 목적 경도(Hardness)는 한 물체가 다른 물체로부터 외력을 받았을 때, 이 외력에 대한 저항의 크기를 나타내는 척도가 되는 데 경도를 측정하는 방법으로 강구 등의 압입체로 시험편의 표면에 일정한 하중을 눌러 그때 시험편에 생긴 압입 자국의 크기로 경도를 구하는 압입 경도 시험법과 표면에 다이아몬드 등으로 긋기 흔적을 만들고 흔적의 폭으로부터 경도를 ..
리포트 > 공학/기술 |
 물리실험 - 힘의 합성 실험보고서 ( 3Pages )
물리실험 - 힘의 합성 실험보고서 1. 실험목적 한 점에 작용하는 몇 개의 힘의 합성을 벡터 도형에 적용하여 그 결과를 합성대를 이용하여 실험적으로 확인을 하고 이론적인 값과 비교해본다. 2. 실험내용 B 물체의 한 점에서 방향이 다른 여러 힘이 동시에 작용할 때 이 여러 개의 힘과 동등한 한 개의 힘이 나타나는데 이 힘을 합력이라고 한다. 그리고 합력을 구하는 것을 힘의 합성이라 한다. 합력..
리포트 > 공학/기술 |
 [디지털 시스템 설계 및 실험] Latch, Flip-Flop, Shift Register ( 3Pages )
디지털 시스템 설계 및 실험 결과보고서 실험제목 Latch, Flip-Flop, Shift Register 실험목표 1. SR NOR latch 2. Gated D latch(based on an SR NOR latch) 3. (Masterslave pulse-triggered) D flip-flop (with reset) 4. 4-bit shift register(using 4 D flip-flops) 실험결과 1. SR NOR latch 코딩 module (S,R,Q,Q_); input S,R; output Q,Q_; nor (Q,R,Q_); nor (Q_,S,Q); endmodule 시뮬레이..
리포트 > 공학/기술 |
 논리회로실험 - encoder decoder 7segment[7세이그먼트] ( 24Pages )
실험 목적 - Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다. - 7 - segment LED decoder를 이해하고, 특성을 실험으로 익힌다. 실험 과정 1.4X2 encoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 인코더 회로를 구현한 후 Modelsim 값과 DE2-115에서의 동작을 확인한다. 1) Quartus Ⅱ 구동 후 4X2 encoder 회로를 [그림 14]처럼 구성하고 Modelsim을 이용하여 파형을 확인 후 DE2-115보드에..
리포트 > 공학/기술 |
51 52 53 54 55 56 57 58 59 60