전체 (검색결과 약 1,348개 중 51페이지)

 [대학생집단상담] 대학생 집단상담의 목표와 구성요소, 대학생을 위한 집단상담의 운영과 상담전략 ( 10Pages )
[대학생집단상담] 대학생 집단상담의 목표와 구성요소, 대학생을 위한 집단상담의 운영과 상담전략 목차 대학생 집단상담 I. 집단상담의 목표 II. 집단의 구성 1. 치료적 집단상담 2. 교육적 집단상담 3. 지원적 집단상담 II. 집단상담의 운영 1. 대학상담센터에서의 집단상담의 실제 2. 대학집단의 일반적 주제 3. 고려해야 할 사항 IV. 효과적인 집단상담의 전략 1. 집단상담의 효과적인 시..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 케어복지의 개념(케어, 케어복지, 노인케어의 개념정의) ( 8Pages )
케어복지의 개념(케어, 케어복지, 노인케어의 개념정의) 목차 케어복지의 개념 Ⅰ. 케어의 개념 Ⅱ. 케어복지의 개념 Ⅲ. 노인케어의 개념 1. 노인케어의 의미 2. 노인케어의 특성 1) 노인케어의 특성 2) 노인케어의 출현 배경 케어복지의 개념 I. 케어의 개념 '케어'라는 용어는 통상적으로 돌봄, 부양, 보호라는 말들로 번역되고 있는데, 오늘날은 단순히 돌보아 준다는 의미보다는 혼자 힘으로..
리포트 > 생활/환경 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 사출 성형 조건시 주의 사항 ( 14Pages )
사출 성형 조건시 주의 사항 ETS-soft 주의 사항1 실린더 설정온도, 측정치 온도를 설정한다. (수지의 분해를 방지하고 공정시간을 단축하기 위해 낮게 설정 ) 설정온도에 도달하면 펌프모터를 가동시킨다.(필요시 작동유 예열) 형체력을 설정한다. (사출시 금형이 밀리지 않을 정도. 형체력 설정 방법 참고) 형개, 형체 및 이젝터 핀 취출거리 및 속도 설정 계량량, 쿠션량을 계산하여 알맞은 거리를 설정..
정보/기술 > 기타 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 영국 드라마의 발전 과정 ( 14Pages )
영국 드라마의 발전 과정 [서론]. 문학 형식으로 드라마가 갖는 큰 특성은 그것이 문학의 한 장르로서 존재하는 동시에 극장에서의 상연을 전제로 하고 있다는데 있다. 글자로 씌어진 말이 그것대로 존재한다기 보다 그말이 음성과 동작을 수반하여 넓은 의미의 action으로 무대위에서 야기 되어질때 드라마는 비로서 완성되는 것이다. 연극을 성립시키는 요소는 작품. 작품의 파악까지 하는 연출자의 ..
리포트 > 예체능 |
예체능
 인공지능아이보Aibo ( 3Pages )
아이보(Aibo)와 로봇 1. 인공지능(Artificial Intelligence) ◎ 의미 : 인간의 학습능력과 추론능력, 지각능력, 자연언어의 이해능력 등을 컴퓨터 프로그램으로 실현한 기술 ◎ 대표적인 인공지능분야 ⅰ. 자연어의 이해 - 자동 번역, 인간과의 대화 ⅱ. 이론 증명 - 수학적인 정리를 이미 알려진 사실로부터 논리적으로 추론하여 증명하는 과정 ⅲ. 전문가 시스템 - 인간이 하는 전문적인 작업들 대..
리포트 > 공학/기술 |
공학, 기술
 뇌성마비의 작업치료 ( 38Pages )
뇌성마비의 작업치료 Ⅰ. 이완 기술 1. 몸통이완 1) 누운 자세에서 척추 구부리기 (1) 누운 자세에서 척추 구부리기 2) 골반 흔들어주기 (1) 누운 자세에서 골반 흔들기 (2) 엎드린 자세에서 골반 흔들기 (3) 옆으로 누운 자세에서 흔들기 (4) 누운 자세에서 양 무릎을 구부리고 좌·우로 돌리기 ♦목적 - 몸통(아래쪽)을 이완시키기 위함 ♦준비물 - 해당사항 없음 ♦지도방법 순서 ① 아이를 똑바..
리포트 > 의/약학 |
의학, 약학
 전자 패키지 기술의 발전사 ( 3Pages )
전자 패키징 기술의 중요성 전자패키징 기술과 제품이란 능동소자(반도체칩)와 수동소자(저항, 콘덴서등)로 이루어진 전자 하드웨어 시스템에 관련된 기술을 통칭하는 매우 광범위하고 그 파급성이 큰 중요한 기술이다. 전자패키징의 중요한 기능과 이에 따른 핵심기술은 다음과 같다. 1.Power Distribution 전자패키징은 소자에 필요한 전력을 공급해야한다. 그러므로 이에 따른 저잡음 전력/접지 회로 ..
리포트 > 공학/기술 |
 [일본어] 꼭 알아두어야 할 의성어_의태어 ( 15Pages )
♥꼭 알아두어야 할 의성어․의태어♥ 〓비슷한 말 ⇒비교해 볼 말 01)あたふたː침착성을 잃고 당황하는 모양(허둥지둥) ex)事故が 起こったと いう 電話で, 親は あたふたと 飛び出して 行った (사고가 났다는 전화에, 부모는 허둥지둥 뛰쳐나갔다) 02)あっさりː①맛이나 색, 디자인 따위가 산뜻(담박)한 모양 ②천성이나 태도가 시원스러운 모양(간단하게,쉽게,깨끗이) 〓さっぱり ex)暑い ときには, 冷(ひ..
시험/자격증 > 어학 |
 센츄리에러조치방법 ( 1Pages )
■ PA 냉.난방운전중 고장진단 및 조치방법 내용원인내용처리방법 E-0통신1. 실내기 제어기판의 P7번이 연결되어 있는가 주 전원 차단 후 육안으로 확인하세요.배선에서 이상이 ERROR 2. P7 번의 3P 배선의 단선은 없는가 없으나 E0가 계속 나타나면 서비스 상황실로 연락해 주세요 1. 현재 외기 온도는 얼마인가 외기 온도가 낮으면(23℃이하)나타나는 이상 표시이므로 고장이 아닙니다. 2. 실내기.실외기..
정보/기술 > 메뉴얼 |
 교육의 어원적 탐색 ( 2Pages )
교육의 어원적 탐색 한글에서 교육을 뜻하는 말은 ‘가르치다’와 ‘기르다’이다. ‘가르치다’는 누구에게 무엇인가를 알려준다는 뜻이며, ‘기르다’는 ‘아이를 기르다’ 혹은 ‘개를 기르다’와 같이 성장을 도와준다는 뜻이다. ‘가르치다’는 ‘갈다’와 ‘치다’가 결합된 말이다. ‘갈다’는 ‘밭을 갈다’에서와 같이 경작을 의미한다. 또한 ‘기르다’는 ‘길(道)’과 어원이 같다. ‘길’은 ‘도로
리포트 > 교육학 |
 연극의 발전과정 ( 16Pages )
[서론]. 문학 형식으로 드라마가 갖는 큰 특성은 그것이 문학의 한 장르로서 존재하는 동시에 극장에서의 상연을 전제로 하고 있다는데 있다. 글자로 씌어진 말이 그것대로 존재한다기 보다 그말이 음성과 동작을 수반하여 넓은 의미의 action으로 무대위에서 야기 되어질때 드라마는 비로서 완성되는 것이다. 연극을 성립시키는 요소는 작품. 작품의 파악까지 하는 연출자의 준비과정. 배우의 연기. ..
리포트 > 예체능 |
예체능
 리더쉽의 정의, 특징, 창의성, 비전, 영향력, 선택, 실행, 인재, 제도, 문화, 영도, 유래, 특징, 현황, 관리, 시사점, 나의견해, 총체적 조사분석 ( 7Pages )
리더쉽의 정의, 특징, 창의성, 비전, 영향력, 선택, 실행, 인재, 제도, 문화, 영도, 유래, 특징, 현황, 관리, 시사점, 나의견해, 총체적 조사분석 희구되는 목표를 달성하기 위해 개인 및 집단을 조정하며 동작하게 하는 기술을 의미한다. 조직 속의 리더십은 구성원으로 하여금 바람직한 조직 목표에 자발적으로 협조하도록 하는 기술 및 영향력을 말한다. 리더에게는 남들한텐 없는 특별한 것이 있..
리포트 > 교육학 |
 설계 - 큐블록을 이용한 보행자위주 신호등 설계 ( 4Pages )
보행자 우선 횡단 보도 신호등 제어 ❑ 설계목적 교외의 사람통행이 빈번하지 않은 횡단보도 신호등을 보행자가 필요시 보행자 신호버튼을 눌러 제어할 수 있도록 한다. ❑ 동작 ❍처음 신호등 상태는 차량신호 파란불, 보행자 신호 빨간불로 시작한다. ❍보행자가 횡단하고자 할 때 보행자 신호버튼을 누른다. ❍보행자 신호버튼을 누른 후 3초 후 차량신호가 파란불에서 황색불로 변한다. (차량에 차량..
리포트 > 공학/기술 |
 전기전자 실험 - 브리지정류회로 결과 보고서 ( 5Pages )
◉실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여 본다. ◉정류회로의 동작원리 -다이오드는 다이오드 양단에 순방향 바이어스에서는 도통되고 역방향 바이어스에서는 회로를 차단하는 성질을 가지고 있다. 즉, 한쪽방향으로만 전류를 흘려주는 성..
리포트 > 공학/기술 |
51 52 53 54 55 56 57 58 59 60