전체 (검색결과 약 20,582개 중 55페이지)

 국내 전기 회로개폐, 보호 및 접속장치 부품 시장통계(2008년까지) ( 12Pages )
국내 전기 회로개폐, 보호 및 접속장치 부품 시장통계(2008년까지) 자료 입니다.(사업체수, 생산액, 출하액 포함) * 시장통계 목차 * 1. 산업 동향 가. 조사범위 나. 제조업 동향(2008년만) 다. 상위 산업통계(2006년부터 2008년까지) 2. 시장 동향 가. 연간 시장통계(2008년까지) - 사업체수, 생산액(백만원), 출하액(백만원) 나. 지역별 현황 분석 본 제공 자료는 국내 2008년까지 전기 ..
비지니스 > 경제동향 |
품목의 시장통계, 사업체수, 생산액(백만원), 출하액(백만원), valueadd, ㈜밸류애드
 대학물리실험 - 정류 회로 ( 14Pages )
정류 회로 목 차 1. 실험목표 2. 배경이론 3. 실험 방법 4. 실험 결과 5. 고찰 다이오드 개수와 저항의 크기 그리고 축전기의 크기변화에 따른 전압의 변화. 다이오드와 축전기의 역할 실험 목표 4개의 다이오드를 사용한 회로는 브리지정류라고도 불리며 전파정류의 일종이다. 4개의 다이오드 이외 또 다른 전파정류 배경 이론 Sine 파 정류하기 (1) 다이오드에 1K옴 저항을 연결하여 실험 (2) 다이오드를..
리포트 > 자연과학 |
 전자회로 실험 - 반파정류기와 전파정류기의 출력파형을 관찰하고 측정 ( 4Pages )
◈ 실험목적 1. 반파정류기의 출력파형을 관찰하고 측정한다. 2. 전파정류기의 출력파형을 관찰하고 측정한다. ◈ 실험재료 오실로스코프 저항 : 1k 1/2W 전원변압기 : 110V/6.3V, 12.6V 실리콘다이오드 : 1N5625*4 스위치 ◈ 이론 전자해설에서 능동소자가 동작하기 위해서는 직류전원을 능동소자에 적절히 인가해야 한다. 직류전류는 한쪽 방향으로 흐르므로 반도체 다이오드를 이용하면 교류를 직류로 바..
리포트 > 자연과학 |
 기초전기전자 실험 - 트랜지스터(고정 bias 회로설계) ( 6Pages )
기초전기전자 실험 - 트랜지스터(고정 bias 회로설계) 1. 목 적 1)TR의 특성을 이해하고 특성중 전류증폭을 확인하라. 2)펑션 제너레이터를 이용하여 전류증폭작용을 DSO를 통해 확인하자. 2. 이 론 저번주 실험은 트랜지스터의 “스위치작용”였고 이번 실험은 “전류증폭”이다. 그래서 이론은 트랜지스터 이므로 동일하여 같이 사용하고 전류증폭에 관련된 것을 뒤에 더 추가하였다. 트렌지스터 (TR) ..
리포트 > 공학/기술 |
 국내 전자회로용 가변식 축전기(콘덴서, CAPACITOR) 시장통계(2008년까지) ( 11Pages )
국내 전자회로용 가변식 축전기(콘덴서, CAPACITOR) 시장통계(2008년까지) 자료 입니다.(사업체수, 생산액, 출하액 포함) * 시장통계 목차 * 1. 산업 동향 가. 조사범위 나. 제조업 동향(2008년만) 다. 상위 산업통계(2006년부터 2008년까지) 2. 시장 동향 가. 연간 시장통계(2008년까지) - 사업체수, 생산액(백만원), 출하액(백만원) 나. 지역별 현황 분석 본 제공 자료는 국내 2008년까지..
비지니스 > 경제동향 |
품목의 시장통계, 사업체수, 생산액(백만원), 출하액(백만원), valueadd, ㈜밸류애드
 물리학 실험 - 키르히호프의 법칙 ( 4Pages )
키르히호프의 법칙 요 약 이 실험은 여러종류의 회로에서 흐르는 전류와 전압을 계산하고 키르히호프의 법칙을 이해하는 목적이며 회로도를 보고 저항을 연결하여 전류와 전압을 구하는 방법이다. 그 결과로 키르히호프의 제1법칙과 제2법칙,옴의 법칙등이 성립한다는 것을 알 수 있었다. 1. 서 론 및 이론 여러 개의 전기 저항과 전원이 연결된 복잡한 전기회로에서 각 부분을 흐르는 전류와 전압사이..
리포트 > 자연과학 |
 실험7 교류 단상 및 3상회로의 전력과 역률 측정 ( 15Pages )
1. 실험목적 2. 장비 및 부품 3. 준비지식 4. 실험 5. 고찰 6. 실험후기 5. 고찰 이번 실험은 교류단상 및 3상회로의 전력과 역률을 측정하는 것이다. 직류회로에서는 전압과 전류와의 곱이 전력이 되나, 교류회로에서는 전류와 전압의 실효치와의 곱이 반드시 전력으로 되지는 않는다. 교류회로에서는 전압과 전류와의 곱을 피상전력이라 하고, 이에 역률을 곱해야 비로소 전력이 된다. 교류회로의 전압이..
리포트 > 공학/기술 |
교류 단상 및 3상회로의 전력과 역률, 멀티미터, 와트미터, 클램프미터, 교류전압, 병렬연결, 교류단상, 전기전자실험, 크램프미터, 내부저항
 음향제어 전등 ( 11Pages )
음향제어 전등 목차 작품소개 회로도 및 설명 문제점 및 해결 소모부품 작품소개 스위치 대신 박수소리로 on/off 하는 전등 소모 부품 회로도 LM324 ....
리포트 > 공학/기술 |
 전자회로 설계 및 실험 - 다이오드 특성 ( 5Pages )
전자회로 설계 및 실험 - 다이오드 특성 실험 목적 : 실리콘과 게르마늄 다이오드의 특성곡선을 계산하고, 비교하고, 측정한다. *실제 실험은 si 다이오드만 이용하여 측정하였다. 실험 순서 1.다이오드 검사 다이오드 검사 단자 그림과 같이 다이오드 양쪽에 멀티미터를 연결하여 전위를 측정한다. 다이오드가 정상이라면 순방향 연결시 약간의 전압강하가 일어난다. 역방향 연결시는 개방회로를 ..
리포트 > 공학/기술 |
 대학 물리실험 - 축전기와 전기회로 ( 15Pages )
축전기와 전기회로 목 차 1. 실험목표 2. 배경이론 3. 실험 방법 4. 실험 결과 5. 고찰 축전기와 저항의 변화에 따른 전압-시간 그래프의 변화 축전기의 직렬과 병렬 연결의 차이 와 저항의 크기에 따른 차이 실험 목표 저항의 직렬 병렬 연결 저항이 직렬 연결 일 때 합성저항 저항이 병렬 연결 일 때 합성저항 배경 이론-1 축전기의 직렬 병렬 연결 축전기의 병렬연결 축전기의 직렬연결 배경 이론-2 1. ..
리포트 > 자연과학 |
 전기전자공학개론 - 연산, 비반전, 반전 증폭기 ( 13Pages )
전기전자공학계론 목차 연산 증폭기 연산증폭기는 단일 실리콘 웨이퍼에 많은 개별적인 전자회로를 집적시켜 놓은 집적회로이다. 연산 증폭기는, 이상적인 증폭기와 이상적인 회로소자의 특성에 기초한 가산, 필터링, 적분 등 많은 작업을 수행할 수 있다. 기본 연산회로 두가지 1.비반전 연산증폭기 2.반전 연산증폭기 (1) 연산 증폭기의 구성 ....
리포트 > 공학/기술 |
 전자공학 논리회로 실험 - Logic 연산과 Gates ( 6Pages )
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2. OR gate AB A+B 5V 5V 4.54V 5V 0V 4.51V 0V 5V 4.51V 0V 0V 0V 오차 및 결과 오차 : And Input에 거짓=0V가 걸리면 Output에 거짓=0V가 걸려 야하나. Diode 전압강하 특징으로 0.64V의 전..
리포트 > 공학/기술 |
 키르히호프의 법칙 ( 2Pages )
(1) 키르히호프의 법칙 : 키르히호프법칙은 간단한 단순회로에서 전압, 전류, 저항을 계산하는 데는 옴의 법칙으로 해석이 가능하지만 회로망과 같이 2개 이상의 기전력을 포함하는 복합회로의 해석은 옴의 법칙만으로는 해결하기 어렵다. 따라서 복잡한 회로로 구성된 복합회로망의 해석을 하는데는 키르히호프 법칙이 적용된다. 그리고 이법칙에는 전류의 연속성을 표시하는 제 1법칙과 전압과 기전력의 ..
리포트 > 공학/기술 |
공학, 기술
 전자회로설계 - 저역통과 필터 및 고역통과 필터 조사[예비,결과 보고서] ( 10Pages )
실험 예비 보고서 학번 및 이름 : 실험 제목 :저역통과 필터 및 고역통과 필터 조사 1. 저역통과 필터와 고역통과 필터에 대해 설명 하시오. 저역통과 필터 - ⓵ 주파수의 저역 부분을 통과시키는 필터. 그림에서 0≦f<fc의 주파수범위를 출력으로서 꺼낸다. 자유롭게 통과할 수 있는 주파수대를 통과대, 통과를저지 하는 주파수대를 감쇠대라 한다. 또, 통과대와 감쇠대와의 경계의 주파수 fc를 차단 ..
리포트 > 공학/기술 |
 정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정 ( 20Pages )
집적회로(VLSI)의 설계 과정 VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계 후반부 : Layout 데이터 생성 2.1 상위 레벨 합성(High Level Synthesis) 2비트 입력 4비트 출력 X(0) F(0) X(1) F(1) Y(0) F(2) Y(1) F(3) (a) 설계 사양의 블록도 (b) 설계 사양의 입출력 library ieee; use ieee.st..
리포트 > 공학/기술 |
51 52 53 54 55 56 57 58 59 60