전체 (검색결과 약 4,576개 중 60페이지)

 수면시간이 학습효과에 미치는 영향 ( 11Pages )
수면시간이 학습효과에 미치는 영향 연구동기 및 목적 우리 학교의 학생들은 밤 11시 30분까지 면학을 하고 희망자에 따라서는 새벽 1시까지 연장학습을 할 수 있는 데 많은 학생들은 연장학습을 하면서 생활을 하고 있다. 이에 우리는 규칙적인 수면시간의 차이가 사람에게 주는 영향, 특히 기억력에 미치는 영향을 알고 싶었고 이것을 통계적으로 해석해보아 학생들에게 가장 알맞은 수면시간을 제시하고..
리포트 > 교육학 |
 컴퓨터 애니메이션의 현황과 사운드의 개념 ( 4Pages )
컴퓨터 애니메이션의 현황과 사운드의 개념 1. 컴퓨터 애니메이션의 현황 컴퓨터 애니메이션/X-38 실험 항공기가 궤도이탈 등 오락용의 동화나 이야기 외에도 과학기술분야에서 많이 이용된다. 예를 들면 수학적 도형, 흐름의 가시화, 화학반응의 시각화, 비행기의 모의비행 등을 들 수 있다. 모의비행장치는 화면에서 비행항로 위의 구름·안개·야간신호 등과 다른 비행기의 화상이 나타난다. 이밖에도 ..
리포트 > 공학/기술 |
 결과 보고서 - 빛의 속력, 빈사 법칙, 굴절(스넬의) 법칙, 내부 전반사 ( 7Pages )
빛의 속력, 빈사 법칙, 굴절(스넬의) 법칙, 내부 전반사 실험조건 : (온도) 19°C, (습도) 38%, (날씨) 맑음 1. 실험 목적 1) 빛의 속력을 측정할 수 있다. 2) 빛의 반사 법칙과 굴절 법칙(스넬의 법칙)을 설명할 수 있다. 3) 입사각 대 반사각, 입사각 대 굴절각의 관계를 측정할 수 있다. 4) 투명한 물체의 굴절률을 측정할 수 있다. 5) 밀한 매질에서 소한 매질로 입사 시 생길 수 있는 내부 전반사를 ..
리포트 > 자연과학 |
 물리학 실험 - 전자기 유도 ( 5Pages )
*제목: 전자기 유도 *목적: 이중 솔레노이드 코일에서의 상호유도 현상을 측정하여 Faraday 법칙을 이해하고, 변압기의 원리를 확인한다. *방법: 1부 컴퓨터 1.전압센서를 아날로그 채널 A, B 에 연결한다. 2. ‘Signal Output , Voltage sensor 의 설정을 책을 참고하여 조정한다. 2부 실험 장치 및 측정 실험1 상호 인덕턴스 1. 그림의 1차코일을 2차 코일안에 밀어 넣는다. 철심은 넣지 않는다. 각 코..
리포트 > 자연과학 |
 성인 간호학 - 심부정맥 내용 정리 ( 10Pages )
1. 심장의 자극 전도계와 심전도의 기본파형을 안다. (1) 심장 전도계의 구조 ① 동방결절 : 심장에서 심박동을 조절하는 심박 조절자, 상대정맥과 우심방 접합부에 위치, 거의 분당 60~100회에 이르는 전기 충격을 일으키지만 그 박동수는 조절가능, 탈분극 파동은 우심방에서 방실결절과 좌심방으로 각각 전달, 교감신경계와 부교감신경계는 동방결절을 조절 ② 방실결절 : 심방중격 하부에 위치, 정상적..
리포트 > 의/약학 |
 쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드) ( 7Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 모드(MODE)선택부 나. 제어 입력(CONTROL INPUTS)부 다. 제어 출력(CONTROL OUTPUTS)부 라. 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2) 마. PWM-제..
리포트 > 공학/기술 |
쵸퍼/ 인버터 제어장치의 사용법(쵸퍼모드), 모드(MODE)선택부, 제어 입력(CONTROL INPUTS)부, 제어 출력(CONTROL OUTPUTS)부, 직류전원 1 (DC SOURCE 1)과 직류전원 2 (DC SOURCE 2), PWM-제어 쵸퍼 모드(CHOP.PWM)
 교류회로에서 벡터 및 페이저 ( 9Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력계통실습 과목 강의에 이용되는 자료로서 교류회로에서 벡터 및 페이저에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1-1 직렬 교류 회로에서의 벡터 및 페이저 1. 실습목적 2. 관련이론 3. 안전사항 4. 실시사항 1-2 병렬 교류 회로에서의 벡터 및 페이저 1. 실습목적 2. 관련이론 3. 안전사항 4. 실시..
리포트 > 공학/기술 |
교류회로에서 벡터 및 페이저, 직렬 교류 회로에서의 벡터 및 페이저, 병렬 교류 회로에서의 벡터 및 페이저
 외팔보 처짐 ( 6Pages )
1.실험이론 l P X L-X 1.1힘의 이론적 계산 이 상태에서 외팔보의 처짐을 계산해 보면 (1) 여기서, P:힘 :보의 처짐 l:보의 길이 E:탄성 계수 I:관성모멘트(=) (1)식을 P에 대해서 구해보면 이론적인 P를 구할 수 있다. (2) 1.2힘의 실험적 계산 위의 외팔보에서 거리가 x인 지점의 응력 는 다음과 같이 된다. (3) 여기서,M:x지점의 모멘트 그리고,비례관계에 의해서 l:l-x=Pl:M M=P..
리포트 > 자연과학 |
 연산증폭기의 작동원리 ( 14Pages )
연산증폭기의 동작원리와 반전증폭기,비반전증폭기 contents 목차 1. 연산증폭기(Operational -Amplifiers)의 구성과 특징 2. 연산증폭기의 동작원리 3. 반전증폭기와 비반전증폭기의회로와 입출력파형 1-1. 연산증폭기(OP-AMP)의 특징 차동 증폭기 전압증폭기 출력증폭기 단일 IC내부에서 모두 연결 고이득 고입력 임피 던스 저출력 임피 던스 1-2. 연산증폭기(OP-AMP)의 구성 연산증폭기는 차동..
리포트 > 자연과학 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 오실로스코프의 예비실험 레포트 ( 9Pages )
Oscilloscope 사용법 1. 실험목적 Oscilloscope의 원리를 이해하고 그 작동 방법을 익힌다. 2. 실험원리 Oscilloscope는 전압파형을 시각적으로 나타내어 측정할 수 있는 장치로서 CRO(cathode ray oscilloscope)라고도 부른다. (1) Oscilloscope의 내부 구조 Oscilloscope의 내부 구조는 에서 보는 바와 같이 음극선관(CRT: cathode ray tube), 수직증폭기(vertical amplifier), 수평증폭..
리포트 > 자연과학 |
자연과학
 미적분기 ( 8Pages )
1. 제목 : 미적분기 2. 목적 :신호증폭에 관련된 실험도구들에 대해 알고 활용법을 익힌다. 계측 회로 (미․적분 회로)를 직접 구성하여 보고 그 원리를 이해한다. 구성한 회로로 입력신 호가 미․적분 되어 출력되는 것을 확인한다. 3. 기본이론 (1) 미분기 : -입력신호의 시간적 변화율(시간미분)에 비례하는 출력을 내는 회로. -왼쪽 회로는 제한된 고주파 이득을 가지는 미분기이다. (높은 주파수에..
리포트 > 공학/기술 |
 물리학및 실험 - 공명 ( 5Pages )
1. 목적 열린 관과 막힌 관에서 소리의 공명현상과 정상 파형을 관측하고, 관 속에서의 소리의 속도를 알아본다. 2. 이론 가. 음파 스피커의 떨림판이 떨면 음파가 곤기 중으로 전파된다. 음파는 스피커 떨림판 쪽으로 가까워지고 멀어지고 하는 공기분자의 작은 운동으로 이루어져 있다. 만약 스피커 근처의 작은 공기 체적을 볼 수 있다면 그 공기 체적이 스피커로부터 멀리 이동하는 것이 아니고, ..
리포트 > 공학/기술 |
 기초회로실험 - Oscilloscope and Function Generator ( 4Pages )
기초회로실험 - Oscilloscope and Function Generator 1.서론 (1) 오실로스코프    오실로스코프란 함수 발생기로부터 받은 시간에 따른 입력전압의 변화를 화면에 출력하는 장치이다. 전기진동이나 펄스처럼 시간적 변화가 빠른 신호를 관측한다. (2) 함수 발생기 함수 발생기란 아날로그 컴퓨터의 비선형 연산기의 하나이다. 절선 근사 연산기, 광전 함수 발생기, 서보 함수 발생기 등이 있으며, ..
리포트 > 공학/기술 |
 [일반물리학실험] 페러데이법칙 결과 ( 5Pages )
1. 목적 패러데이를 따라서 간단한 실험을 통하여 전자기이끎 현상의 존재를 실감하고, 또 같은 규격의 직류 전동기를 벨트로 연결하여 돌리으로서, 자기마당 안에서 코일이 회전할 때 생기는 전위차를 조사하여 전자기이끎 현상을 정량적으로 이해한다. 부수적으로는 전자기 현상을 비롯하여 물리현상에서 자주 적용되는 상반 정리를 살펴보고 전동기에 대한 이해도 돕는다. 2. 원리 패러데이는 유도되는 ..
리포트 > 자연과학 |
51 52 53 54 55 56 57 58 59 60