전체 (검색결과 약 4,576개 중 61페이지)

 단상 다이오드 정류기(1) ( 10Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 단상 다이오드 정류기(1)에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 다이오드의 동작특성 나. 반파정류기(Half-wave Rectifier) 다. 환류다이오드를 갖는 정류기 3. 실험 요약 4. 실험 순서 가. 전력다이오드의 특성곡선 나. 반파 정류회로 ..
리포트 > 공학/기술 |
다이오드 동작특성, 전력다이오드 특성곡선, 반파정류기, 환류다이오드를 갖는 정류기
 미적분회로(differentiatorintegrator) ( 9Pages )
계측 및 신호처리 -미/적분회로(differentiator/integrator)- 1. 실험제목 미/적분회로(differentiator/integrator) 2. 실험목적 구성해 보고 구성한 회로의 입력신호가 되어 출력되는 모습을 확인한다. 3. 실험이론 (1) 미분기(differentiator) -입력신호의 시간적 변화율(시간미분)에 비례하는 출력을 내는 회로. -왼쪽 회로는 제한된 고주파 이득을 가지는 미분기이다. (높은 주파수에서 이득..
리포트 > 공학/기술 |
 방사선 계측 실험 ( 4Pages )
방사선 계측 실험 레포트 1. 실험제목 - GM 계수관의 특성곡선 및 Scintillation detector를 이용한 방사능 계측 2. 이론적배경 - GM계수관은 가장 오래된 방사선 검출기의 하나로, 방사선 종류에 관계 없이 방사선이 입사하면 큰 signal을 발생하며 방사선 계수율을 측정할 수 있는 검출기이다. 구조가 간단하고 조작도 쉬우므로 널리 사용되나 에너지양은 측정 불가능하다. 측정원리는 GM계수관의 금속 ..
리포트 > 공학/기술 |
 MOSFET Buck-Chopper ( 11Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 MOSFET Buck-Chopper 에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 벅-쵸퍼(Buck-chopper) 3. 실험 요약 4. 실험 순서 가. MOSFET 벅-쵸퍼의 동작 나. 스위칭제어신호 주파수의 효과를 관측하기 다. 출력전력 대 입력전력 5. 검토 및 결론 ..
리포트 > 공학/기술 |
MOSFET Buck-Chopper, 벅-쵸퍼, MOSFET 벅-쵸퍼의 동작, 출력전력 대 입력전력
 다이오드 3상 정류기 ( 10Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 다이오드 3상 정류기에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 3상 3펄스 정류기 나. 3상 6펄스 정류기 3. 실험 요약 4. 실험 순서 가. 3상 3펄스 정류기 나. 3상 6펄스 정류기 가. 3상 3펄스 정류기 3상 정류기는 단상 정류기에 비해 몇가지..
리포트 > 공학/기술 |
3상3펄스정류기, 3상6펄스정류기
 전자 회로실험 - 클램핑회로 ( 9Pages )
전자 회로실험 - 클램핑회로 1. 목적 2. 실험장비 3. 이론개요 4. 실험순서 5. 토의 및 고찰 1. 목적 클램퍼의 기능과 동작을 익힌다. 2. 실험장비 (1) 계측장비 오실로스코프 DMM (2) 부품 ◇ 저항 100Ω (1개) 1kΩ (1개) 100kΩ(1개) ◇ 다이오드 Si 소신호용 (1개) ◇ 커패시터 1uF (1개) (3) 공급기 1,5-V D cell과 홀더 (1개) 함수 발생기 3. 이론개요 클램퍼는 입력 파형의 피..
리포트 > 공학/기술 |
 MOSFET Single-Phase Inverter ( 15Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 MOSFET Single-Phase Inverter에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 인버터로서 4상한 쵸퍼의 이용 나. PWM과 180도-변조 단상인버터 3. 실험 요약 4. 실험 순서 가. 4상한 쵸퍼를 이용한 직류전력의 교류전력변환 나. 두 개의 MOSFET로 구성..
리포트 > 공학/기술 |
MOSFET Single-Phase Inverter, 4상한 쵸퍼를 이용한 직류전력의 교류전력변환, PWM과 180도-변조 단상인버터
 [간호학][뇌파검사][EEG]뇌파검사간호, [eletroencephalogram] ( 2Pages )
뇌파검사 정의, 간호, 종류, 방법입니다. 내용을 표로 정리하였습니다. 도움이 되었으면 합니다. 뇌파검사(EEG, eletroencephalogram) 1) 정의 2) 적응증 (1) 간질 (2) 중독성 혹은 대사성 뇌병증 (3) 뇌염과 같은 감염질환 (단순포진바이러스 뇌염 등) (4) Creutzfeldt-Jacobo병 (5) 뇌사 판정 (6) 원인 불명의 혼수의 감별 3) 방법 (1) 이상유발방법 (2) 종류 4) 절차 5) 결과 6) 간호 (1) 검사 전 (2)..
리포트 > 의/약학 |
뇌파, 뇌파 종류, 뇌파 검사
 삼성전자 합격 자기소개서 (4) ( 2Pages )
제가 삼성에 지원한 이유는 삼성이 가진 최적의 기술력과 인재 양성제도는 제게 배움과 개발의 즐거움을 안겨줄 것이라 생각하기 때문입니다. 삼성이 이렇게 성장할 수 있고, 세계적인 기업이 될 수 있었던 것에는 자체 기술력이 큰 역할을 했다고 생각합니다. 이러한 기술력의 바탕에는 삼성의 인재 양성문화가 기여했다고 생각합니다. 이러한 삼성이 가진 기술과 더불어 전기차 전장품으로 인버터, 컨버터..
서식 > 자기소개서 |
기술, 삼성, 만들다, 생각, 설비, 문제, 필요하다, 제작, 컨버터, , 이다, 개발, 세계, 회로, 전기차, 소자, , 이유, 하만, 가지다
 유체역학 실험 - 레이놀즈수(Reynolds) 실험 ( 9Pages )
1.제목 2.요약 3.서론 4.본론 (1)유체 (2)층류와 난류 (3)레이놀즈수 (Reynolds number) (4)천이영역 (5)완전 발달흐름과 전이길이 (6)실험 장치 (7)결과 (8)고찰 5. 결론 6. 참고문헌 1. 제목 : Reynolds 실험 2. 요약문 레이놀즈수 실험은 층류와 난류 그리고 천이영역을 확인하기 위해 하는 실험으로써 실험 장치에 물을 살살 채워 넣어 유속을 일정하게 유지시킨 다음 수조위의 용..
리포트 > 자연과학 |
 논리회로 실험 트랜지스터 증폭기의 기본 구조와 특성 총체적 조사분석 ( 13Pages )
Report ( 논리회로 실험 트랜지스터 증폭기의 기본 구조와 특성 총체적 조사분석 ) 목 차 1. 진법변환문제 및 논리회로 작성 (1) 실험 1-1-1에서 구한 전류 이득 값을 이용하여 이론값을 구하고, 실험 및 앞에서 구한 계 산 결과와 비교한다. (2) 실험 1-1-1에서 구한 트랜지스터의 값과 값을 이용하여 회로 1, 2, 3 의 특성을 Electronics Workbench 로 구하고, 실험 및 앞에서 구한 계산 결과와 ..
리포트 > 공학/기술 |
 오실로스코프 [Oscilloscope] 사용법 ( 8Pages )
오실로스코프(Oscilloscope) 사용법 1. 실험목적 오실로스코프는 전압의 변화를 눈으로 확인할 수 있도록 만들어진 기기이다. 따라서 전류나 임피던스 또는 속도나 온도, 조도, 유량 등 다양한 물리량을 전압의 형태로 변환하면 오실로스코프로 관측할 수 있다. 이 실험에서는 오실로스코프의 사용법을 익혀 직류 및 교류전압파형(Waveform)을 정확하게 계측하는 방법을 습득하고, 다양한 계측기로부터..
리포트 > 공학/기술 |
 제어공학실험 - 1차 지연요소 ( 7Pages )
제어공학실험 - 1차 지연요소 1. 실험목적 입력에 대한 출력의 시간응답특성이 시정수에 의하여 1차 지연을 갖는 요소의 회로 해석 및 특성을 관측한다. 물리적으로 이런 시스템은 R-C회로나 열시스템 등을 나타낸다. 2. 실험회로 3. 실험순서 및 결과 실험 3.1 1차 지연요소의 실험회로를 구상하라. =10k, 는 최대가 되도록 설정할 것. 실험 3.2 함수발생기를 이용하여 입력전압 를 100Hz, 1구형파 ..
리포트 > 공학/기술 |
 dsp 05 ( 12Pages )
제 5 장 이산 푸리에 변환 5.1 푸리에 급수 • 주기함수 • 기본 주파수(fundamental frequency) • 고조파(harmonics) ․ 주파수가 의 정수배인 정현파 ․ 제2 고조파, 제3 고조파, 제4 고조파,․․․ • 푸리에 급수(Fourier seires) ․ 주기가 인 주기함수는 기본 주파수의 정수배에 해당하는 주파수 성분들의 합으로 나타낼 수 있다. ․ 삼각함수 전개 ․ 복소수 전개 5.1.1 삼각함수 푸리에 급수 • 의 삼각함..
리포트 > 공학/기술 |
공학, 기술
 데이터통신 설계 - Line Coding에 관해 ( 4Pages )
Line Coding 1. Line Coding이란 -베이스밴드, 무 변조 상태에서 가입자선로, 동선로, 광선로 등으로 전송하기위해 취해지는 부호화(Coding) 형식이다. [용어 설명] ※ 베이스 밴드(기저 대역) - 변조되기 이전 또는 변조되지 않는 원래 정보 신호들이 있는 저주파 영역 ※ 무 변조 상태 - 신호 정보를 적절한 파형 형태로 변환하지 않은 상태. ※ 가입자 선로 - 교환기와 가입자 내 전화기 사이의 동선으로 ..
리포트 > 공학/기술 |
61 62 63 64 65 66 67 68 69 70