전체 (검색결과 약 4,976개 중 61페이지)

 전자공학 - 디지털시계 설계 및 제작 ( 5Pages )
1. 목적 및 동기 각종 소자를 이용하여 디지털 시계를 만들며 이번 학기동안 배워왔던 소자들의 특성과 디지털 시스템 관련 이론을 적용시켜보고 회로구성의 용이성과 범용성 등의 장점과 외부환경에 따라 민감하게 작동하는 전자기기에 대해서 경험해 볼 수 있다. 2. 수행내용 1) 클럭 발생 - NE555와 2.2uF, 470kΩ, 270kΩ을 이용하여 1Hz의 클럭 발생 2) 초 기능 - 7490의 출력을 받아 Counting. - 6..
리포트 > 공학/기술 |
 [기계공학실험] brinell, rockwell 경도실험 ( 15Pages )
- 경도 실험 - -목 차- 1. 경도의 정의 및 실험목적 …… p. 3 - 경도의 정의 …… p. 3 - 실험목적 …… p. 3 2. 실험이론 …… p. 4 - Brinell 경도시험 …… p. 4 - Rockwell 경도시험 …… p. 7 3. 실험장비 및 실험방법 …… p. 11 -Brinell 경도시험 …… p. 11 -실험장비 …… p. 11 -실험방법 …… p. 12 -Rockwell 경도시험 …… p. 13 -실험장비 …… p. 13 -실험방법 …… p. 14 4. 결과 …… p. 15 5..
리포트 > 공학/기술 |
 [공학] 마이켈슨 간섭계 실험 - 분광기를 이용한 스펙트럼 측정[회절격자에 의한 빛의 파장 측정 ( 9Pages )
분광기를 이용한 스펙트럼 측정 회절격자에 의한 빛의 파장측정 1. 실험목적 빛이 입사될 때 격자 속에서는 파장에 따라 회절되는 각이 다르게 나타나는데 그 회절된 각을 측정하고, 그 각이 무엇을 의미하는지를 안다. 그런 후 기체들의 스펙트럼을 측정하여 가장 강한 빛의 스펙트럼의 파장을 구하여 본다. 2. 실험이론 가시광선은 300nm~700nm의 파장을 갖고 있고, 그 파장에 따라 우리는 색깔이 ..
리포트 > 공학/기술 |
 [컴퓨터공학] 트랜잭션 처리 프로그램 ( 3Pages )
트랜잭션 처리 프로그램 1. 프로젝트 설명 스키마(schema)파일에 저장된 데이터 타입을 순서대로 읽으면서 데이터(data)파일의 내용을 출력하는데, 트랜잭션(tran)파일에서 데이터 파일을 수정해주는 작업을 수행한다. 트랜잭션파일에는 데이터를 추가하고 삭제하는 내용이 들어있다. 데이터를 새로 추가할 때에도 스키마파일에 저장된 데이터 타입을 알려주는 데이터들이 저장된 순서대로 “out.txt” 파..
리포트 > 공학/기술 |
 자원봉사의 과제 ( 3Pages )
자원봉사의 과제 흔히 산업선진국에서는 기업(Business)을 제1섹터(Sector)라고 부른다. 미국의 경우 전국 경제의 80%가 이 섹터를 구축하고 있는 가장 큰 영역이며, 자유시장 경제나 막강한 자본과 기술의 세력으로 선진국과 상위 중진국들이 고도 성장과 번영을 계속 즐기게 될 것이 분명하다. 개발의 기본동력의 정보기술, 물질기술, 유전공학, 동력자원, 환경공학 등의 거의 독점적으로 선진국들에 의..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 상순의 결정 ( 4Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력계통실습 과목 강의에 이용되는 자료로서 상순의 결정에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험목적 2. 관련이론 3. 준비사항 4. 안전사항 5. 실시사항 1. 실습목적 3상전원의 상순을 결정한다 2. 관련이론 3상전원의 상순은 그것은 세 선전압이 각각 이어지는 즉, 각각의 최대값에 도달하는 시간차..
리포트 > 공학/기술 |
상순의 결정, 3상 전원 상순의 결정, 전력계통 기초
 [전기전자공학] 반도체 소재의 종류 및 특성 ( 5Pages )
반도체 소재의 종류 및 특성 목차 1. 반도체 소재 1) 반도체 소재란 2) P형 반도체 3) N형 반도체 2. 반도체 소재의 종류 1) 다이오드 (Diode) 2) 트랜지스터(Transister) 3) 사이리스터(thyristor) 반도체 소재란 반도체소재 정의 반도체를 소재로 하여 만든 회로 반도체소재의 재료 게르마늄(Ge), 규소(Si), GaAs 와 아산화구리 ·황화카드뮴 성질상 구분 n형 ·p형 ·진성(眞性) 등, 그들을 단..
리포트 > 공학/기술 |
 컴퓨터 공학개론 - 사물인터넷(IoT)에 관해 ( 8Pages )
목 차 1. 개요 ………·…… 1 2. IoT의 개념 ………·…·… 1 1) 정의 2) 주요 기술 3. IoT트렌드 ………·…·…· 3 1) 적용분야 및 사례……… 3 가. SKT의 스마트 팜 서비스 나. KT의 스마트 홈 서비스 다. LG U+의 지능형 관제 서비스 2) IoT의 미래··· 5 3) 미래를 바꿀 신기술··· 5 가. 생각만으로 움직이는 컴퓨터 인터페이스 나. 웨어러블 디바이스(Wearable Device) 다. 스크린이 필요없는 3차원 디스플..
리포트 > 공학/기술 |
 기계공학 실험 - 개수로 유동 실험 ( 8Pages )
개수로 유동 실험 개수로(Open channel)에 3각 위어(Triangular weir)를 설치하여 월류수심과 유량을 측정후 실제유량계수(C)를 구하고 이론유량과 실험에서 측정한 유량을 비교한다. 또한 KS B 6302규정의 실험식을 이용하여 실험유량계수(K)와 실제유량계수(C)를 비교한다. 이론적 배경 3각 위어(Triangular weir) 3각 위어는 개수로의 소유량 측정에 사용하는 것으로 V - 노치 위어라고도 한다. 개수로..
리포트 > 공학/기술 |
 화학공학 - wafer cleaning(웨이퍼 세척) 과제 ( 8Pages )
Wafer cleaning 1. Types and sources of contamination Particles- 먼지, 꽃가루, clothing particles, 박테리아 등. 보통의 공간(1큐빅 피트 안에)에는0.5 micron 이상 크기의 입자 10 6 개 이상 있다. 20 micron 이상의 지름을 갖는 입자의 경우 쉽게 가라 앉으므로 주로 문제가 되는 입자는 0.1 to 20 micron 의 지름을 갖는 입자이다. Inorganic contaminants - 염, 용액의 이온, 무거운 metal 원자...
리포트 > 공학/기술 |
 화학공학 실험 - 열풍온도제어 ( 8Pages )
1. 서론 (1) ON-OFF control ON-OFF control 제어 시스템 이해 (2) ON-OFF control with Hysteresis relay amplifier를 사용하여 hysteresis를 갖는 on-off 제어특성을 이해하는데 있다. (3) proportional control 비례동작 온도제어 시스템의 특성을 이해하기 위함이다. (4) proportional plus integral control 비례동작온도제어기에 integral action을 더하였을 때의 특성을 이해하는 것이다. (5) fe..
리포트 > 공학/기술 |
 기초공학 실험[A+자료] - 유량측정 보고서 ( 12Pages )
1. 실험 제목 : 유량 측정 2. 실험 목적 : 유체가 흐르는 임의의 단면에서, 유체의 체적 또는 질량의 시간에 대한 유동 비율 을 유량이라고 한다. 본 실험에서는 유량을 직접 측정하는 방식에서 부자식(float type) 유량계와 오리피스(orifice) 유량계 그리고 유속을 측정하는 방식 중 피토 튜브 (pitot tube)의 동작원리와 사용 방법을 이해한다. 3. 실험 이론 (1) 베르누이 방정식 - 기준점에 대한 높이..
리포트 > 공학/기술 |
 기계공학 - 각 용접법의 특징 및 종류 ( 6Pages )
■ 각 용접법의 특징 및 종류    용접법은 열원에 따라서 다음과 같이 분류할 수 있다. 이중에서 일반적으로 널리 쓰이는 것은 Oxy-acetylene Gas Welding법과 Gas Tungsten Arc Welding 등이 있다. Electro-slag Welding의 경우에는 아크 용접법이 아니지만 처음의 시작단계에서 아크를 사용하므로 아크용접법 또는 세미-아크용접법으로 분류하기도 한다. 표 1. 열원에 따른 대표적인 용접법 열    원 용  ..
리포트 > 공학/기술 |
 건축 구조의 철학 - Eduardo Torroja 에두아르도 토로하에 관해 ( 5Pages )
Structural Designers Engineers Eduardo Torroja 1. Eduardo Torroja는 누구인가 1899. 8. 27 마드리드~1961. 6. 15 마드리드. 스페인의 건축가·공학기사 콘크리트 셸 구조 디자인의 선구자 - 1923년 공학기사 자격 졸업 후 도급업자와 함께 일을 시작 - 1927년 고문기사 - 첫번째 셸 구조는 알헤시라스의 지붕덮인 시장(1933) - 1935년 사르수엘라 경마장의 특별석과 스포츠 홀이 건립(마드리드) → 그..
리포트 > 공학/기술 |
 [인물탐구] 오일러, 폰 칼만 인물 조사 ( 4Pages )
❏ Leonhard Euler 국 적 스위스 연 대 1707년 4월 15일 ~ 1789년 9월 18일 활동분야 수학, 물리학 출생지 스위스 바젤 주요저서 《미분학 원리》(1755) 《독일 왕녀에게 보내는 편지》 ❍ 공학을 공부하며 조사해온 많은 공학자들은 여러 가지 분야에 두루 업적을 남겼다. 이번에 조사하는 오일러도 예외가 될 순 없는지 그도 수학, 물리학, 천문학, 의학, 식물학, 화학 등 많은 분야에 업적을 남겼..
리포트 > 사회과학 |
61 62 63 64 65 66 67 68 69 70