전체 (검색결과 약 4,982개 중 62페이지)

 [인물탐구] 오일러, 폰 칼만 인물 조사 ( 4Pages )
❏ Leonhard Euler 국 적 스위스 연 대 1707년 4월 15일 ~ 1789년 9월 18일 활동분야 수학, 물리학 출생지 스위스 바젤 주요저서 《미분학 원리》(1755) 《독일 왕녀에게 보내는 편지》 ❍ 공학을 공부하며 조사해온 많은 공학자들은 여러 가지 분야에 두루 업적을 남겼다. 이번에 조사하는 오일러도 예외가 될 순 없는지 그도 수학, 물리학, 천문학, 의학, 식물학, 화학 등 많은 분야에 업적을 남겼..
리포트 > 사회과학 |
 화학공학 실험 - 흡착(Adsorption) 실험 ( 25Pages )
흡 착 (Adsorption) 실험목적 흡착에 관한 개념을 이해 하고 활성탄을 흡착제로 사용하여 메틸렌 블루의 흡착을 흡광도를 이용하여 알아보고, 이 데이터로 파과점과 파과곡선을 구해보고 활성탄의 흡착량을 알아본다. 이 론 흡 착 : 2개의 상이 접할 때, 그 상을 구성하고 있는 성분물질이 경계면에 농축되는 현상을 말한다. 흡착제 : 용액으로부터 가스나 용질을 취하는 고체 포화 농도 : , 배출농도가 유..
리포트 > 공학/기술 |
 [건조공학] 플라즈마절단과 레이저 절단의 원리 ( 5Pages )
플라즈마절단과 레이저 절단의 원리 1. 플라즈마 및 레이저 발생원리 ◈플라즈마 발생원리 플라즈마란 초고온에서 음전하를 가진 전자와 양전하를 띤 이온으로 분리된 기체 상태를 의미하며 또는 아크 방전의 전극간 기체, 방전관(형광등 등)내의 발광부분, 전리층 등의 대기층, 태양의 코로나 등과 같이 고도로 전리된 기체를 말하기도 하며 물질의 제4태라고도 불린다. ◈발생원리 플라즈마를 만들기 ..
리포트 > 공학/기술 |
 기초학문과 응용학문 정의, 특징, 불평등 현 실태, 사회확 이론, 응용 학문 불평등, 신자유주의 비판, 갈등주의 이론, 대학교육에서 기초학문 필요성, 해결방안 ( 24Pages )
기초학문과 응용학문 간의 불평등 Report ( 기초학문과 응용학문 정의, 특징, 불평등 현 실태, 사회확 이론, 응용 학문 불평등, 신자유주의 비판, 갈등주의 이론, 대학교육에서 기초학문 필요성, 해결방안 ) 목 차 서론 - 연구 배경과 연구 목적 본론 1. 기초학문과 응용학문의 정의 1) 기초학문과 응용학문 사전적 정의 2) 기초학문과 응용학문의 관계적 정의 2. 기초학문과 응용학문 불평등 현 ..
리포트 > 교육학 |
 [생명공학] 동물 바이러스 - 동물 바이러스의 특징과 복제 과정 ( 8Pages )
동물 바이러스 (Animal Virus) (부제 : 동물 바이러스의 특징과 복제 과정) 「동물 바이러스(Animal virus) : [생물] 포유류나 조류 따위의 항온 동물에 감염하여 번지는 바이러스」 1. 동물 바이러스(Animal Virus)의 특징 위의 정의는 동물 바이러스(Animal Virus)의 사전적 정의이다. 동물 바이러스의 ‘동물’은 바이러스가 감염되는 것, 즉 숙주 대상을 의미한다. 따라서 동물 바이러스는 동물에 감..
리포트 > 자연과학 |
 교회건축 사례조사 ( 14Pages )
교회건축 사례조사 신앙적인 면 예배, 양육, 훈련, 봉사, 교제, 전도, 선교 건축적인 면 기능, 구조, 미, 독창성, 공학적 효율적인 면 경제성, 재료, 공기, 견고성, 관리, 실용성 현대 교회 건축의 요소 현대교회 1. 신앙적 요소의 교회건축- 경동교회 ....
리포트 > 공학/기술 |
 컴퓨터를이용한유전체연구 ( 12Pages )
컴퓨터를 이용한 유전체연구 본 자료는 생명공학연구소 단백질공학연구부 생체분자구조R.U. 선임연구원 김승목 님의 자료입니다. 1. 서 론 유전체연구에서 컴퓨터 이용의 궁극적인 목적은 다음과 같은 말로 그 의미를 함축적으로 표현하는 것이 가능하리라 여겨진다. 즉 유전체(Genome)란 우리가 전혀 알지 못하는 언어로 쓰여진 아주 정교한 생물학적인 프로그램이다라는 것이다. 유전체(Genome)의 ..
리포트 > 공학/기술 |
공학, 기술
 [화학공학] sol-gel[졸-겔]에 관해 - History, Definition of sol-gel, Product of sol-gel ( 60Pages )
Sol-Gel 1. History 2. Definition of sol-gel 3. Product of sol-gel 1. History ■ 1846년 Ebelmen : 규산에스테르의 가수분해반응을 이용하여 겔을 만듦 ■ 1959년 Shott사 : 금속알콕사이드를 이용하여 SiO2막이나 TiO2-SiO2막을 판유리 위에 형성 연구 ■ 1970년대 : 유리 분야 뿐만 아니라 졸의 겔화시 섬유, 벌크 소결체나 코팅막 으로 열처리에 의하여 형상을 변형시키지 않은 제품을 위한 ..
리포트 > 공학/기술 |
 [디지털공학] 예비보고서 - 플립플롭 ( 8Pages )
1.실험 제목 플립플롭 2.실험 목적 순서논리회로의 기반이 되는 플립플롭을 RS, D, T, JK , 주종플립플롭 등을 대상으로 하여 동작 원리를 살펴보고 전반적인 이해를 도모하도록 한다. 3.관련 이론 (1)RS 플립플롭 동기식 RS 플립플롭은 S단자와 R단자에 입력을 가하되 인가되는 클록 CK에 의해 회로의 동작 여부가 결정되는 트리거형 플립플롭이다. 플립플롭은 상승에지 플립플롭과 하강 에지 플립플..
리포트 > 공학/기술 |
 원가추정 ( 37Pages )
원가추정(Cost Estimation) 학습목표 L.O. 1 고정원가와 변동원가를 추정하는 목적을 이해한다. L.O. 2 공학적 방법을 이용하여 원가를 추정한다. L.O. 3 계정분석법을 이용하여 원가를 추정한다. L.O. 4 통계분석법을 이용하여 원가를 추정한다. L.O. 5 회귀분석결과를 해석하는 방법을 익힌다. L.O. 6 회귀분석 자료의 잠재적 문제점을 파악한다. L.O. 7 서로 다른 원가추정 방법의 장 · 단점을 ..
리포트 > 경영/경제 |
 인적자원 ( 20Pages )
인적자원 개발론 수행관리 수행개선을 위한 평가유형 수행관리의 핵심요소 : 직무분석 인적수행체제 수행관리의 발전 CONTENTS 기업에서의 사례 정리 결론 수행이란 결 과 성 취 실 행 실 적 achievement performance result action 수행관리란 최고의 가치 구성원들의 최고 역량 수행관리라고 생각하기 쉬운 오해들 A 수행평가가 아니다 A 조직위계와 관련 없다 A 경직된 제도가 아니다 A 무조..
리포트 > 경영/경제 |
 스페인의 건축가이자 엔지니어인 에두아르도 토로하의 관해(그의 일생과 작품에 대한 설명들이 구조와 관련하여 작성) ( 5Pages )
Structural Designers Engineers Eduardo Torroja 1. Eduardo Torroja는 누구인가 1899. 8. 27 마드리드~1961. 6. 15 마드리드. 스페인의 건축가·공학기사 콘크리트 셸 구조 디자인의 선구자 - 1923년 공학기사 자격 졸업 후 도급업자와 함께 일을 시작 - 1927년 고문기사 - 첫번째 셸 구조는 알헤시라스의 지붕덮인 시장(1933) - 1935년 사르수엘라 경마장의 특별석과 스포츠 홀이 건립(마드리드) → 그..
리포트 > 사회과학 |
 기계공학 실험 - 멀티테스터 작동법 ( 3Pages )
멀티테스터 작동법 요 약 회로에 걸리는 전악과 전류를 측정하고, 옴(ohm) 의 법칙과 카르히호프(kirchhoff)의 법칙에 대하여 알아본다. 또한 저항값에 대한 색코드에 대해서도 알아보기로 한다. 1. 서 론 전압, 전류 및 저항 등의 값을 하나의 계기로 측정할 수 있게 만든 기기로, 회로 시험기라고도 한다.이 기기로는 단락 점검과 다이오드, TR 및 기타 여러 가지 전자 부품의 양부(良否) 판별도 할 ..
리포트 > 공학/기술 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 생명조작 ( 3Pages )
◇ 발생공학의 발전 동물에서는 하나의 세포로 된 수정란(난자와 정자가 결합된 것을 뜻함)으로부터 배를 형성하고 그 배가 계속적으로 분열하고 분화하여 조직을 형성한다. 그 조직이 고도로 체계화되어 기관을 형성하고 나중에 하나의 성체가 되는데 이 과정을 발생이라한다. 샐생의 원리와 기작을 생물 공학 기술로 이용하여 유용한 동물을 만드는 기술이 발생 공학이다. 발생공학의 발달 과정을 보면..
리포트 > 사회과학 |
사회과학
61 62 63 64 65 66 67 68 69 70