전체 (검색결과 약 20,582개 중 67페이지)

 물리학 실험 - ohms law[옴의 법칙] ( 4Pages )
옴의 법칙 Georg Simon Oh은 전류, 전압, 저항이라는 세가지 중요한 전기적인 양의 상호작용을 발견하였다. 그 상호작용과 저항의 단위 모두를 물리학에 대한 그의 공헌을 기념하기위해 그의 이름으로 지어졌다. 옴의 법칙의 한 가지 진술은 저항을 통과하는 전류는 전압에 비례한다는 것이다. 이번실험에서 당신은 Current Voltage Probe System과 컴퓨터로 몇 개의 다른 회로에서 이 법칙의 진위를 판명..
리포트 > 공학/기술 |
 [전기전자공학] 직렬과 병렬의 관계 ( 10Pages )
직렬과 병렬의 관계 전기전자공학실험 실험주제 직렬과 병렬연결의 특징을 알아보고 차이점을 익힌다. 직렬 및 병렬로 연결된 저항 회로에서 전체 저항을 구한다. 직렬 및 병렬회로의 전류와 전압을 측정한다. 실험 장비 직류전원 공급장치 디지털 멀티미터 브레드보드 저항 (100,200,300,510,680) 각 1개 직렬 연결 실험 사진 직렬연결 실험 결과(브레드보드) 실험 결과 비교 ....
리포트 > 자연과학 |
 전기전자 기초 실험 - 중첩의 원리 ( 7Pages )
중첩의 원리 1. 실험 목적 - 중첩의 원리를 이해하고, 그 응용능력을 키운다. - 중첩의 원리를 실험을 통해 확인한다. 2. 기초 이론 및 원리 요약 옴의 법칙이나 키르히호프의 법칙을 이용하면, 하나의 전원을 포함하고 있는 회로망에서 소정의 소자나 노드에 걸리는 전압과 흐르는 전류를 구할 수 있다. 더 나아가 테브난의 정리나 노턴의 정리를 이용하면, 임의의 회로망을 등가전원(전압원 또는 전류..
리포트 > 자연과학 |
 전기과 - 전력전자설계 트랜스폼제작 [flyback] ( 6Pages )
1. SMPS의 Block Diagram [ 그림1. Block Diagram ] 교류입력 전원으로부터 입력 전류 평활 회로를 통해 얻은 직류 입력 전압을 직류 출력 전압으로 변환하는 DC-DC 컨버터, 출력전압을 안정화 시키는 궤환 제어 회로 등으로 되어 있다. 궤환회로는 다시 출력 전압의 오차를 증폭하는 오차 증폭기, 증폭된 오차와 삼각파를 비교하여 구동펄스를 생성하는 비교기, DC-DC 컨버터의 주 스위치를 구동하는 구..
리포트 > 공학/기술 |
 논리회로 - 플립플롭 ( 18Pages )
플 립 플 롭 플립플롭과 래치는 두개의 안정된 상태 중 하나를 가지는 1비트 기억소자 플립-플롭 클럭신호가 Rising 할 때만 출력값이 변함. Edge-triggered 방식으로 동작 래치 Enable 제어신호가 ‘1’인 동안에 SR입력이 변화하면 이에 따라 출력(Q)값이 변함 Level-triggered 방식으로 동작함 보통 플립플롭과 래치를 통틀어 플립플롭이라 하는 경우도 있다. 플립플롭 : 동기식 플립플롭 래 치 : 비..
리포트 > 공학/기술 |
 실험보고서 - 분압기[Voltage Divider] 설계 ( 4Pages )
분압기(Voltage Divider) 설계 1. 실험요약 분압기는 실험실이나, 가전제품 등에서 입력전압보다 낮은 전압이 필요할 때 많이 쓰이는 장치이다. 실험을 통해 고정된 전류전압원으로부터 그보다 낮은 전압을 얻는 분압기(votage divider)의 개념을 이해하며 무부하, 부하시의 분압기를 제작해봄으로써 또 분압기에 부하가 연결될 경우, 부하가 미치는 영향을 파악할 수 있어야 한다. 또한, 이를 바탕으로 ..
리포트 > 자연과학 |
 bcd코드, gray코드 10진카운 ( 6Pages )
BCD코드,Gray코드 10진 카운터 설계 목차 1.Gray코드설명 2. BCD코드,Gray코드 10진 카운터 설계 3.카르노 맵 작성 4.회로도 구성 Gray코드란 ....
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 [일반물리학 실험] 오실로스코프와 함수 발생기 실험 ( 4Pages )
[일반물리학 실험] 오실로스코프와 함수 발생기 실험 1.실험목적 1.함수발생기와 오실리스코프의 조작법을 읽히고 각각의 저항에 걸린 전압을 구한다. 2.RC회로에서 축전기와 저항에 걸린 전압의 위상차로 인해 그려지는 리사주 곡선을 이해한다. 2.배경이론 1)저항이 직렬로 연결된 회로에서 전압은 저항에 비례하며 저항에 걸리는 전압의 총 합은 전체전압과 같다. 2)곡선 X(t)= (Cos(wt),Cos(w’t+))로..
리포트 > 공학/기술 |
 일반물리학 실험 - 옴의 법칙 (전류_전압 측정) ( 4Pages )
1. 주 제 옴의 법칙 (전류․전압 측정) 2. 목 적 저항체와 기전력으로 구성된 회로에 걸리는 전압과 이 회로에 흐르는 전류를 전압계와 전류게로 측정하여 옴의 법칙과 키르히호프의 법칙을 확인한 후 저항을 구하고 저항 색코드를 익힌다. 3. 관련이론 옴의 법칙 1826년 G.S.옴이 발견한 물리학의 기본법칙의 하나이다. 전위차를 V, 전류의 세기를 I, 전기저항을 R라 하면, V=IR의 관계가 성립한다. ..
리포트 > 자연과학 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 멀티미터의사용법 ( 6Pages )
실험 1 멀티미터의 사용법 1. 목적 본 실험에서는 일반 멀티미터 및 디지털 멀티미터의 기본 원리, 규격서 검토 및그 사용법을 익힌다. 2. 이론 ① 일반 멀티미터의 기본 구성 일반적으로 멀티미터는 직류 전압, 교류 전압, 직류 전류 및 저항 측정의 네 가지 기본 기능의 회로로 구성되며, 이들은 영구자석을 사용하는 가동 코일형 직류 전류계 M, 배율기와 분류기 K, 다이오드 D1, 전지 E및 전환 스위치..
정보/기술 > 토목/건축 |
 [컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation ( 21Pages )
CSA (Carry Select Adder) Design and Simulation Contents 2 1. Carry-Save Number Representation 3 2. An Outline of Adder 3 2.1 Ripple Carry Adder 3 2.2 CLA (Carry Look Ahead Adder) 4 2.3 CSA (Carry Select Adder) 5 3. An Outline of CSA 6 4. A Specific Logic Design 7 4.1 Full Adder of 1 bit 7 4.2 Ripple Carry Adder of 4-bits 7 4.3 Multiplexer 8 4.4 Put Together and Merg..
리포트 > 공학/기술 |
 컴퓨터의 발달사 ( 5Pages )
⑴제1세대 컴퓨터(first generation; 1951∼1959 : 진공관시대) ⑵제2세대 컴퓨터(second generation; 1959∼1963 : 트랜지스터 시대) ⑶제3세대 컴퓨터(third generation; 1964∼1971 : 집적회로시대) ⑵제4세대 컴퓨터(forrth generation ; 1971∼) ⑸제5세대 컴퓨터(fifth generation ;∼)
리포트 > 공학/기술 |
 일반물리학실험 - RC시상수 ( 5Pages )
실험 목적 이번 실험은 RC시상수에 관한 실험으로서 각각 실험에 따라 시상수를 측정해보는 실험이다. 멀티미터에 일정한 볼트를 주어서 그에 따른 시간 상수를 알아 본다. 실험 이론 1. 충전(charge) 기전력에 의하여 Capacitance가 충전된다. 옆 그림과 같이 구성된 회로회로 방정식은 식 (1)과 같다. (1) 전류 i 값에 옆의 식(2)를 대입하여, ...(2) 식(1)을 풀면, 식(3)을 얻을 수 있게 된다..
리포트 > 자연과학 |
61 62 63 64 65 66 67 68 69 70